CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 出租车计费

搜索资源列表

  1. car4

    0下载:
  2. 实现普通的出租车计费功能,以单片机AT89S52为核心,以储存芯片AT24C02和霍尔传感器为外围设备的新型出租车计价器系统。该系统具有计费、计时、存储、统计等多种计量功能,系统能够进行单价和时钟的调整,自动地切换不同时间的收费标准。-Achieve common taxi billing features to the core MCU AT89S52, AT24C02 chip to store peripherals and Hall sensors for the new taxi me
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:6229
    • 提供者:冯俭智
  1. chuzuche

    0下载:
  2. 关于出租车计费及显示设计,运用proteus设计,汇编语言编程-Billing and display design on taxi
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:58761
    • 提供者:god
  1. taxt-jifei

    0下载:
  2. 程序写的是出租车计费器,有需要的可以看一下-Program written in a taxi meter, there is need to look at
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:41689
    • 提供者:sun
  1. Taxi-billing-system-source-code

    0下载:
  2. C#出租车计费系统,基于C语言基础的出租车的计费系统的源代码。-C# taxi billing system,Based on C language foundation of the taxi s billing system of source code.
  3. 所属分类:transportation applications

    • 发布日期:2017-05-16
    • 文件大小:4287626
    • 提供者:郑栋
  1. taxi

    0下载:
  2. 利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。-Design using Verilog HDL language a taxi meter, it has time display, billing and simulation taxi start, stop, reset and other functions, an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:279384
    • 提供者:jonette
  1. chuzuchejifei

    0下载:
  2. 本程序利C语言编程实现出租车计费的功能,并用proteus仿真实现。-This program and C language programming the the Taxi billing functions, and with proteus simulation.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:55268
    • 提供者:xuedandan
  1. taxi

    0下载:
  2. 该程序是有关于出租车计费系统的源程序,采用C语言编译,希望对大家有用。-taxi programes
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1926
    • 提供者:程东
  1. qu

    0下载:
  2. 出租车计费系统的原理和实现方法、 PROTEUS仿真原理及实际应用-Taxi billing system, the principle and the realization method of PROTEUS simulation principle and actual application.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:1120
    • 提供者:郭璐珉
  1. chuzuchejifeixitong

    0下载:
  2. c#编写的出租车计费系统,包括源代码以及可执行文件-c# prepared by the taxi meter system, including source code and executable files
  3. 所属分类:Other systems

    • 发布日期:2017-05-17
    • 文件大小:4280395
    • 提供者:
  1. 61-taxi

    0下载:
  2. 基于凌阳61单片机的出租车计费系统,包含语音等功能-Based on the single chip microcomputer 61 sunplus taxi billing system, including voice, and other functions
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:399617
    • 提供者:陈震
  1. Taximeter

    0下载:
  2. 采用VHDL编写出租车计费器系统程序,采用MAX+PLUSⅡ软件作为开发平台,进行了程序仿真,验证设计 实现了出租车计价器的相关功能。-Several current problemsarediscussedandonekindof designscheme for themultifunctional taximeter basedonPIC16F877isprovided. It realizesthenormal pricing. Still it hasthefunctionof
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:388260
    • 提供者:Ruirui
  1. chuzuche

    0下载:
  2. 出租车计费器的源代码,实现测速计价,时间的显示,显示的切换等功能-The source code of the taxi meter, tachometer pricing, time display, the switch functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2904
    • 提供者:Eric
  1. chuzuche

    0下载:
  2. 使用vhdl语言设计的出租车计费器。实现计费功能,计费标准为:按行驶里程收费。起步价是8.00元,并在行驶3公里后,在按1.90元/公里计费。当计费器达到或超过20元时,每公里加收50 的车费,车停止是不计费。 显示功能:将车费及里程显示出来,车费和里程显示各使用4位数码管。 模拟功能:能模拟汽车启动,停止,暂停以及加速等状态。 -Using vhdl language design taxi meter. Billing functions, accounting standard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:579276
    • 提供者:陈小龙
  1. Utaxis

    0下载:
  2. 用verilog写的基于cpld的出租车计费器的源源码,需要的参考一下 ,经测试可直接使用。 -Verilog write source based the cpld taxi meter source reference, the test can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-08
    • 文件大小:1286654
    • 提供者:干预
  1. chuzhuchejifeiqi

    0下载:
  2. 出租车计费器外加语音录制和语音播报录音的功能,语音芯片用的是ISD4004-The taxi meter plus voice recording and voice broadcast recording function, voice chip with ISD4004
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-11-17
    • 文件大小:143136
    • 提供者:陈攀峰
  1. lichengyi

    1下载:
  2. 实在stm32下的出租车计费器模拟 用到了定时器 外部中断等功能-c
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-14
    • 文件大小:1546423
    • 提供者:王磊
  1. zhang

    0下载:
  2. 出租车计费系统中里程表测量速度的表示,通过C程序表示-Odometer to measure speed, said the taxi meter system, represented by C program
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-21
    • 文件大小:1845
    • 提供者:celine
  1. texicount

    0下载:
  2. 可编辑逻辑器件,用VHDL语言实现出租车计费,分别包括显示里程和价格-Programmable logic devices using VHDL taxi meter, respectively, including the display of mileage and price
  3. 所属分类:Compiler program

    • 发布日期:2017-12-06
    • 文件大小:114094
    • 提供者:wuhaimei
  1. shiyan8

    0下载:
  2. 出租车计费系统verilog hdl编写-verilog hdl taxi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:2751020
    • 提供者:ycj
  1. taxi

    0下载:
  2. 基于顶层模块用Verilog HDL设计的出租车计费系统,4位精度-Based on the top module use Verilog HDL design taxi billing system, four accuracy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:2712459
    • 提供者:李鹏宇
« 1 2 3 4 5 67 8 9 10 »
搜珍网 www.dssz.com