CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 状态机

搜索资源列表

  1. 8.10

    0下载:
  2. 强烈推荐下载,verilog状态机实例.可以在modelsim下运行. -strongly recommend downloading Verilog state machine example. In modelsim running.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:18477
    • 提供者:陶玉辉
  1. sl.v

    0下载:
  2. 路灯控制器 采用了状态机的概念编程,其中采用了信号检测进程防止干扰信号驱动芯片工作-lights controller state machine used the concept of programming, where the signal detection processes to prevent signal interference driver chips work
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:989
    • 提供者:李萧
  1. svpwm_zp

    0下载:
  2. 一个简单明了的电压空间矢量pwm程序,可以帮助你理解dsp自身的空间矢量状态机。-a straightforward space vector PWM voltage procedures can help you understand dsp their own space vector state machine.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:21355
    • 提供者:zp
  1. I2C_read

    0下载:
  2. I2C读程序,通过状态机描叙,仿真达到要求-I2C Reading, depicts through the state machine, called Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1697
    • 提供者:陈谷
  1. I2C_write

    0下载:
  2. I2C写程序,程序设计中使用了状态机,并通过输出给指示灯表明状态。-I2C written procedures, program design using state machine, and through to the output indicator showed the state.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1745
    • 提供者:陈谷
  1. ScanerLib

    0下载:
  2. 一个简单的PASCAL语言编译器,基于有限状态机的算法,并不是一个功能完全的编译器。-a simple PASCAL language compiler, based on the finite state machine algorithm not entirely a function of the compiler.
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:7657
    • 提供者:Riching
  1. uart_VHDL

    0下载:
  2. uart的vhdl实现代码 分模块设计和状态机设计 不错的,用它没错-UART achieve the VHDL code modular design and state machine design good, the right to use it
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:10594
    • 提供者:王平
  1. ztj

    0下载:
  2. max+plusII下的使用列举类型的状态机-max plusII use of the listed types of state machine.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1434
    • 提供者:李清
  1. fsm

    1下载:
  2. 一个有限状态机的实现源代码。C语言实现。-a finite state machine to achieve the source code. C language.
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:28849
    • 提供者:陈文
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. State.Machine

    0下载:
  2. State.Machine.Coding.Styles.for.Synthesis(状态机,英文,VHDL)-State.Machine.Coding.Styles.for.Synthesis (FSM, English, VHDL)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:124507
    • 提供者:咱航
  1. zzcl_vhdl

    0下载:
  2. VHDL编写的八位9值信号的中值输出方法,特点是不采用流水或状态机设计,因此速度较快-VHDL prepared by eight of the nine value signal output value method, which is characterized by not using water or state machine design, therefore faster
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2617
    • 提供者:xiangli
  1. 5555

    1下载:
  2. 微波炉定时器集成电路的设计 1、 控制状态机:工作状态状态转换。 2、 数据装入电路:根据控制信号选择定时时间、测试数据或完成信号的装入。 3、 定时器电路:负责完成烹调过程中的时间递减计数和数据译码供给七段数码显示,同时还可以提供烹调完成时间的状态信号供控制状态机产生完成信号。 -microwave timer IC design a control state machine : state of the state conversion work. 2, data l
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:306217
    • 提供者:吴倩
  1. statemachine

    0下载:
  2. 自己做的一个关于more状态机的三种描述的比较。以后会有更多的资料,请大家关注。-doing more of a state machine on the three described earlier. Many more information, please everyone's attention.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:812065
    • 提供者:潘 应 云
  1. menu_osd

    0下载:
  2. 关于嵌入式PCB板UI的程序,包含状态机等一些程序。-on Embedded PCB UI procedures, such as state machine contains some of the procedures.
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:593984
    • 提供者:史涛
  1. jieshouchengxu

    0下载:
  2. 基于状态机的SAA3010红外遥控接收程序-state machine based on the SAA3010 infrared remote control procedures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2596
    • 提供者:邓杨军
  1. FSM_program

    0下载:
  2. 用于协议状态机的编程参考,可以用于协议设计。-agreement for the state machine programming reference design can be used in the agreement.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:23586
    • 提供者:fanliyun
  1. FSM02

    0下载:
  2. 异步复位状态机 -- State Machine with Asynchronous Reset -- dowload from: www.fpga.com.cn & www.pld.com.cn -asynchronous reset state machine -- State Machine with Asynchronou 's Reset -- dowload from : www.fpga.com.cn
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:878
    • 提供者:罗兰
  1. FSM_Westor

    0下载:
  2. 状态机得用法,可以帮助新手了解状态机得用法以及掌握用途-state machine in use, and can help newcomers understand the state machine in use, and control purposes
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2390
    • 提供者:andyxm
  1. 66vhdl_src

    0下载:
  2. 66个vhdl的常用源代码,包括有双向口、状态机等,自解压后看vhdl_example.html列表说明.exe-66 vhdl common source code, including the two-mouth state machine, Since unpacked see vhdl_example.html tabulated. exe
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:98148
    • 提供者:刘丙周
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com