CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动控制原理

搜索资源列表

  1. MS-agent

    0下载:
  2. msagent说明文档。机器人接口 Agent,COM,角色,语音识别,语音合成。 对Agent编程的方法主要有使用VB,VC等语言进行ActiveX调用,除此之外还有直接通过VC进行COM编程调用。在VB中调用Agent是最简单不过了,但由于VB程序本身存在诸多缺陷,很难在实际中应用。而在VC中,由于Agent内部完全采用了UNICODE编码,同时还要处理各种繁杂的COM接口,从而也存在一定的问题。AgentShell是建立在Agent和应用程序之间的一个外壳程序,通过它可将Agent复杂的C
  3. 所属分类:语音合成与识别

    • 发布日期:2008-10-13
    • 文件大小:721552
    • 提供者:xpnt
  1. test_1_DFS_BFS

    0下载:
  2. 比较DFS与BFS 简单的实现了,小地图范围的两种寻路算法原理的比较。 左键控制,可自动寻找路径,方便观察-DFS compared with the simple realization of BFS, the small scope of the two map routing algorithms for comparison. Left control that can automatically find a path to facilitate the observation
  3. 所属分类:OpenGL

    • 发布日期:2008-10-13
    • 文件大小:29642
    • 提供者:阿申
  1. ly56446

    0下载:
  2. 基本模型机的设计与实现主要内容: 设计一个较为完整的计算机、并编写一些简单的指令 基本要求: 设计器材: Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平线、导线若干。 设计目的: ⒈ 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 ⒉ 为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机概念。 实现较为完整的计算机、并编写一些简单的指令。 设计目标: 本次设计将能在微程序控制下自动产生各部件单元的控制信
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:38424
    • 提供者:刘洋
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. ProjExtend

    1下载:
  2. 中频感应加热以其加热效率高、速度快,可控性好及易于实现机械化、自动化等优点,已在熔炼、铸造、弯管、热锻、焊接和表面热处理等行业得到广泛的应用。 本设计根据设计任务进行了方案设计,设计了相应的硬件电路,研制了20KW中频感应加热电源。 本设计中感应加热电源采用IGBT作为开关器件,可工作在10 Hz~10 kHz频段。它由整流器、滤波器、和逆变器组成。整流器采用不可控三相全桥式整流电路。滤波器采用两个电解电容和一个电感组成Ⅱ型滤波器滤波和无源功率因数校正。逆变器主要由PWM控制器SG352
  3. 所属分类:网络编程

    • 发布日期:2008-10-13
    • 文件大小:5144
    • 提供者:wq57
  1. fuhuaqi

    0下载:
  2. 本文介绍了基于DS18B20单线数字温度传感器的电子孵化器的设计实现。设计中基本涵盖了对单线数字温度传感器DS18B20的原理的运用。在电子孵化器的制作过程中,硬件电路由单线数字温度传感器DS18B20和继电器的连接,电路可以把温度转化成数字用LED数码显示器显示输出。本设计采用外部供电方式(即用吹风机加热)。单线数字温度传感器DS18B20的温度测量范围从—55℃到+125℃,我们在设计中要求温度的范围是30℃到35℃(即温度下限为30℃,上限为35℃),温度低于30℃或者温度高于35℃,继电
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1520
    • 提供者:zk
  1. PID

    0下载:
  2. PID是控制智能小车的经典算法在过程控制中,按偏差的比例(P)、积分(I)和微分(D)进行控制的PID控制器(亦称PID调节器)是应用最为广泛的一种自动控制器。它具有原理简单,易于实现,适用面广,控制参数相互独立,参数的选定比较简单等优点;而且在理论上可以证明,对于过程控制的典型对象──“一阶滞后+纯滞后”与“二阶滞后+纯滞后”的控制对象,PID控制器是一种最优控制
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6585
    • 提供者:叶风
  1. ADC

    1下载:
  2. 有关语音的滤波以及自动增益控制电路的原理图以及PCB图
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:35124
    • 提供者:陈鸡洋
  1. MJ

    2下载:
  2. 自动麻将机主控电路板原理图。采用AT89C51单片机为控制核心。包括完整的功能接口电路。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:25981
    • 提供者:王平
  1. tel

    1下载:
  2. 单片机控制电话的拔号.应答.自动签复等功能.方便进行电话报警的开发.有原理图及源码
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1516340
    • 提供者:dz
  1. counter99

    0下载:
  2. 1.实验任务: 本实验实现的是0-99自动计数,并在二位数码管上动态显示。 2.实验目的 掌握数码管动态显示原理 3.动态显示原理 原理图中把所有数码管的6个笔划段a-h同名端连在一起通过74LS573接P0口,而每一个数码管的公共极COM是各自独立地受I/O线控制。在这里就有了一个矛盾, 所有数码管的8个笔划段a-h同名端连在一起, 那么在一个屏幕上如何显示0,1,2,3,4,5这样不同的数字呢? 的确, 在这样的接法中,同一个瞬间所有的数码管显示都是相同的, 不能显
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:28881
    • 提供者:小于
  1. autorun

    1下载:
  2. // 这个程序的目的是可以控制指定的程序不被关闭(被关闭就自动运行) // 相当于是无人值守程序。它可以同时指定多个需要监控的程序。 // 实现原理是通于检测系统进程,然后对比当前的指定程序列表,发现进程中没有则运行它
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:269043
    • 提供者:Leslie
  1. PIDAlgorithm

    0下载:
  2. 在过程控制中,按偏差的比例(P)、积分(I)和微分(D)进行控制的PID控制器(亦称PID调节器)是应用最为广泛的一种自动控制器。它具有原理简单,易于实现,适用面广,控制参数相互独立,参数的选定比较简单等优点;而且在理论上可以证明,对于过程控制的典型对象──“一阶滞后+纯滞后”与“二阶滞后+纯滞后”的控制对象,PID控制器是一种最优控制。PID调节规律是连续系统动态品质校正的一种有效方法,它的参数整定方式简便,结构改变灵活(PI、PD、…)。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2845
    • 提供者:陈杰
  1. kaqayzfj

    0下载:
  2. 在matlab环境中自动识别连通区域的大小,IMC-PID是利用内模控制原理来对PID参数进行计算,主要是基于mtlab的程序,包括随机梯度算法,相对梯度算法,分析了该信号的时域、频域、倒谱,循环谱等,可以广泛的应用于数据预测及数据分析,针对EMD方法的不足,正确率可以达到98%。- Automatic identification in the matlab environment the size of the connected area, The IMC- PID is using th
  3. 所属分类:matlab

    • 发布日期:2017-05-01
    • 文件大小:11661
    • 提供者:kvdthqcv
  1. kenwjgep

    0下载:
  2. 滤波求和方式实现宽带波束形成,可以得到很精确的幅值、频率、相位估计,基于chebyshev的水声信号分析,本科毕设要求参见标准测试模型,对于初学matlab的同学会有帮助,在matlab环境中自动识别连通区域的大小,IMC-PID是利用内模控制原理来对PID参数进行计算。- Filtering summation way broadband beamforming, You can get a very accurate amplitude, frequency, phase estimatio
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:6188
    • 提供者:rjytzp
  1. txkritkr

    0下载:
  2. 基于互功率谱的时延估计,GPS和INS组合导航程序,在matlab环境中自动识别连通区域的大小,IMC-PID是利用内模控制原理来对PID参数进行计算,滤波求和方式实现宽带波束形成,借鉴了主成分分析算法(PCA)。- Based on the time delay estimation of power spectrum, GPS and INS navigation program, Automatic identification in the matlab environment the
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:7141
    • 提供者:spgwc
  1. etvt

    0下载:
  2. 主同步信号PSS在时域上的相关仿真,在matlab环境中自动识别连通区域的大小,IMC-PID是利用内模控制原理来对PID参数进行计算,光纤陀螺输出误差的allan方差分析。- PSS primary synchronization signal in the time domain simulation related, Automatic identification in the matlab environment the size of the connected area, The
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:3852
    • 提供者:苏高红
  1. zidongkongzhi

    0下载:
  2. 自动控制理论实验指导书,包含原理,步骤。-automatic control
  3. 所属分类:Project Design

    • 发布日期:2017-05-09
    • 文件大小:1909551
    • 提供者:苏乃斐
  1. ff206

    0下载:
  2. 各种kalman滤波器的设计,在matlab环境中自动识别连通区域的大小,IMC-PID是利用内模控制原理来对PID参数进行计算。- Various kalman filter design, Automatic identification in the matlab environment the size of the connected area, The IMC- PID is using the internal model control principle for PID par
  3. 所属分类:Other systems

    • 发布日期:2017-12-16
    • 文件大小:6144
    • 提供者:张威明
  1. java数学图像处理

    0下载:
  2. 本源码共16章,除第0章介绍Java编程基础外,其余15章对应地编程实现了配套书《数字图像处理—原理与算法》中的所有算法,并进行相应的实验。光盘中有完整的程序代码和相应的实验图像,可直接运行。部分程序源代码来自于作者的科学研究和与公司的合作研发,具有借鉴和参考价值。本书可供电子信息、通信、计算机、自动控制、生物医学等理工科相关专业的专科生、本科生和研究生及工程技术人员学习和参考。(Java is divided into four provinces, West Java, Central Ja
  3. 所属分类:其他

    • 发布日期:2017-12-23
    • 文件大小:2142208
    • 提供者:要求
« 1 2 ... 4 5 6 7 8 910 11 12 »
搜珍网 www.dssz.com