CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 长按)

搜索资源列表

  1. Job-Scheduling

    0下载:
  2. 一、目的要求   用高级语言编写和调试一个或多个作业调度的模拟程序,以加深对作业调度算法的理解。 二、例题:为单道批处理系统设计一个作业调度程序。   由于在单道批处理系统中,作业一投入运行,它就占有计算机的一切资源直到作业完成为止,因此调度作业时不必考虑它所需要的资源是否得到满足,它所占用的 CPU时限等因素。   作业调度算法:采用先来先服务(FCFS)调度算法,即按作业提交的先后次序进行调度。总是首先调度在系统中等待时间最长的作业。
  3. 所属分类:WEB源码

    • 发布日期:2014-01-17
    • 文件大小:73369
    • 提供者:Anson
  1. uptownManageSystem

    0下载:
  2. 1. 对住户可以实现出入打卡登记功能,车辆进出管理功能(车辆号登记),相关信息输出功能。 2. 对于短期住户,还可以实现判断其是否过期居住的功能,如果短期住户过期居住,则会提示“住户过期居住,请迅速补办暂住证”。 3. 对外访人员可实现登记证件号码,登记来访时间和相关信息输出的功能。 4. 对长时间来访人员,登记其打算逗留时间;对短时间来访人员,记录其打算离开时间,并输出相应的相关信息。 5. 文件处理功能,实现提供住户相关信息[名字, 年龄, 性别,居住寓所房号,居住性质(短期
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:58632
    • 提供者:elvis
  1. Vcad

    0下载:
  2. 实现图形学中画直线,园和圆弧以及直线裁剪,区域填充等功能 1. 对于画直线,只需知道起点和终点即可。在工作区间中按下鼠标(即选择起点),拖动至另一处松开(终点),即得到直线。 2. 画圆需要圆心坐标、半径、及起始角和终止角,至于实验要求的画1/4圆弧,可设起始角=0,终止角=45,若要画整个圆弧起始角和终止角分别为0和360即可。 3. 椭圆需要的参数更多,有椭圆中心坐标、长轴、短轴、长轴和X轴正向夹角、及起始离心角和终止离心角,要画整个椭圆还是部分椭圆只需控制起始和终止离心角
  3. 所属分类:绘图程序

    • 发布日期:2008-10-13
    • 文件大小:4878790
    • 提供者:刘路
  1. mingtiyansuan

    0下载:
  2. (1)利用二叉树来计算公式的真值。首先利用堆栈将中缀形式的公式变为后缀形式;然后根据后缀形式,从叶结点开始构造相应的二叉树;最后按后序遍历该树,求各子树之值,即每到达一个结点,其子树之值已经计算出来,当到达根结点时,求得的值就是公式之真值。 (2)逻辑变元的标识符不限于单字母,而可以是任意长的字母数字串。 (3)根据用户的要求显示表达式的真值表。
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:6932
    • 提供者:hillary
  1. chengjiguanli

    0下载:
  2. 操作说明 该程序除源程序外,还需有另外一个存放记录的文件(此程序中使用的文件名为score.txt),本程序并没有创建这个文件的功能,要首先产生这个文件,可用记事本先作一个空的文件名为score.txt 的文件。程序运行与该文件的存放位置有关,位置是程序数据段的FNAME的值。二者要保持一致,否则会出错。 程序运行时,在出现主菜单后,按l键,将显示学生的各科成绩;按i键后,执行插入功能,可以插入新的学生成绩;按m键,可修改学生成绩。由于本程序是个简单程序,在修改时,要输入学生的所有成绩,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4367
    • 提供者:随风夜雨
  1. MCU_KEYBOARD_JIDA

    0下载:
  2. 51单片机片键盘驱动程序(带键盘击打实现) 我们在编写单片机键盘程序时,一般都是利用延时实现键消抖,但是很难实现键盘按下后的键盘连续击打,当然可以通过较复杂的变量转换实现,平凡老师写过一篇文章叫做《一种常用键盘程序的设计》,平凡老师是在主循环中进行的按键连续击打实现,我阅读后感觉不错,但是模块化不够理想,最后,平凡老师也承认,这样在主循环中实现这样的键盘击打,只能用在主循环不是很忙的时候,如果程序本身的主循环时间比较长,那就比较麻烦了,所以最后,平凡老师还是建议大家用定时器做,后来我感觉用平
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:81841
    • 提供者:牛余朋
  1. testlamp

    0下载:
  2. 交通灯。1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:307850
    • 提供者:王洪光
  1. DELPHI汉化补丁

    1下载:
  2. 汉化说明 与许多初学者一样,我在开始学习Delphi时,面对全英文的界面,很是不习惯。在Delphi5.0时就开始对Delphi进行汉化。但效果并不明 显,也就没好意思拿出来。但心中总是感到有些遗憾,为什么Delphi这样好的编辑工具,始终不推出简体中文版呢? 一直等到Delphi7.0时,Smallfish终于等不住了,为了Delphi在华夏大地上的传播与推广,SmallFish决定自己动手,汉化出真正属于中 国人的简体中文Delphi程序开发工具。
  3. 所属分类:编译

    • 发布日期:2009-07-11
    • 文件大小:5117742
    • 提供者:a8416aa
  1. TomExam 在线考试系统 v1.0 UTF8

    3下载:
  2. TOMEXAM是基于JAVA与MYSQL开发的免费在线考试系统。它可以稳定、顺畅的运行在Windows与Linux平台上。 您可以通过它创建题库,发布试卷,组织考试,并由系统自动批改。 高度的可配置性和灵活性使得它可以被应用于很多领域。 考试功能介绍: 我的试卷 查看试卷答题情况,或参加考试。点击“详情”可了解考试成绩和答题情况。点击“参加考试”可参加考试。 自我检测 设置条件进行自我检测。 考试者填写完试卷并提交后,即可查看到答案批改情况。 管理功能介绍:
  3. 所属分类:JSP源码/Java

  1. android源码下载工具for windows

    2下载:
  2. 使用本工具要先安装windows版本git,可到以下下载: http://code.google.com/p/msysgit/downloads/list 选择Git-1.7.3.1-preview20101002.exe 1,启动程序后。 2,设置git的安装路径,及源代码保存目录 3,先初始化。 4,初始化成功后,选择android版本,及linux内核版本,按 开始更新下载 ,中途如果网络出错导致下出错,程序可自动从中断处重试下载,如果下 载长时卡住可按Ctrl+c(出现'y/n'选'y'
  3. 所属分类:源码下载

    • 发布日期:2011-01-10
    • 文件大小:1285967
    • 提供者:wytwdhd
  1. EXCEL格式工资管理系统

    1下载:
  2. 工资管理系统简介 (网址:http://blog.sina.com.cn/s/blog_5488e3a90100gwo4.html) 这是一款适用于中小企业的工资管理软件,具有以下特点: 一、提供了三十多项实用功能,可以与收费的商业软件相媲美; 二、用你最熟悉的EXCEL编写,所见即所得,直接使用,无需学习; 三、完全开放源代码,你可以看到所有代码,并可以跟踪代码的执行,让你消除对宏病毒的担心; 四、数据与代码在一个文档中,不使用额外的数据库,不需要安装,即拷即用,真正做到绿色版; 五、持续更
  3. 所属分类:源码下载

    • 发布日期:2011-06-18
    • 文件大小:170944
    • 提供者:ahzll@sohu.com
  1. 基于eclipse RCP的考勤系统

    0下载:
  2. 系统采用j2ee技术,用到JPA,POI,eclipse RCP,嵌入式derby数据库,是学习java富客户端开发的极好的例子。连学习待开发,花了一个月时间。 系统实现如下的功能: 1、 考勤系统的数据来源为门禁系统生成的打卡数据(统一格式的Excel数据表格); 2、 考勤系统输出的统计信息表为Excel表的格式,包含工号、姓名、工作时长; 3、 报表要体现员工每天的上下班时间、工作时长及早退迟到情况; 4、 该系统能够实现对员工的管理,包括增加/删除/修改操作; 5、 该系统能够实现对班次
  3. 所属分类:源码下载

    • 发布日期:2011-08-10
    • 文件大小:15151257
    • 提供者:waynex_jiang
  1. C++程序设计语言实验一

    1下载:
  2. 实验一:C++编程入门 1. 设计一个程序,其中实现两个函数,分别要求: 1. 解一元二次方程 求解一元二次方程Ax²+Bx+C=0的根。用户输入A、B、C的值,输出方程根x1和x2。(使用引用) 2. 求三角形面积 输入三角形的三边长a、b、c(边长可以是小数),求三角形面积area,并输出。如果输入的三边构不成三角形,应给出“data error”的信息提示。注:根据“海伦”公式,area*area=p(p-a)(p-b)(p-c),其中p=(a+b+c)/2。 2. 设计一
  3. 所属分类:其他小程序

  1. 关于linux下文件编译的方法

    0下载:
  2. 摘 要: 本文主要阐述了关于linux下文件编译的方法以及vim编辑器的配置,并介绍了linux下热门开发工具。 关 键 词: vim配置与使用;linux文件编译;linux下热门开发工具 引 言: 1.一般拿到新的服务器都需要自己配置一下vim的环境,要不觉得总是不是很顺手。本文介绍了vim的基本配置以及一些个性化配置,用户可根据自己喜好及习惯自由配置;2.介绍了Linux文本编译的常用编译命令选项和多源文件编译方法,使读者对linux下文件编译有一个直观的了解;3.介绍了常用linux下开
  3. 所属分类:文档资料

  1. fft.rar

    0下载:
  2. FFT算法的基本原理是把长序列的DFT逐次分解为较短序列的DFT。按照抽取方式的不同可分为DIT-FFT(按时间抽取)和DIF-FFT(按频率抽取)算法。按照蝶形运算的构成不同可分为基2、基4、基8以及任意因子(2n,n为大于1的整数),基2、基4算法较为常用。,FFT algorithm is the basic principle of DFT successive long sequence is broken down into shorter sequences of DFT. In
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-23
    • 文件大小:1353
    • 提供者:李文
  1. variable_file

    0下载:
  2. 设计一个类库,支持对变长记录文件的存贮、插入、删除、查找、修改等功能。文件中的每条记录可以有不同的大小,是任意长度的字节流。每条记录对应一个序号(记录号)和一个字串型标识。记录的记录号和标识均可用作对记录的定位和引用。这种文件的每个记录实质上相当于一个子文件,可以存储任意的多媒体数据,如声音、图形、图像等。文件记录号自动按记录进入次序生成,而记录标识由用户随意指定。变长记录文件中的记录,既可以是普通的字节流(嵌入),也可以是其他文件的链接。-err
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:14959
    • 提供者:luqianhui
  1. CY

    0下载:
  2. 1.写出建立BORROW表的SQL语句,要求定义主码完整性约束和引用完整性约束。 2.找出借书超过5本的读者,输出借书卡号及所借图书册数。 3.查询借阅了"水浒"一书的读者,输出姓名及班级。 4.查询过期未还图书,输出借阅者(卡号)、书号及还书日期。 5.查询书名包括"网络"关键词的图书,输出书号、书名、作者。  6.查询现有图书中价格最高的图书,输出书名及作者。 7.查询当前借了“计算方法”但没有借“计算方法习题集”的读者,输出其借书卡号,并
  3. 所属分类:SQL Server

    • 发布日期:2017-03-31
    • 文件大小:518681
    • 提供者:曹颖
  1. LCDtimer

    0下载:
  2. 运动计时器的设计。设计要求: (1)在液晶显示屏上显示分钟和秒,最长的计时时间为59:59。 (2)按下清零按键,在液晶显示屏上显示的时间为00:00。 (3)按下启动/暂停按键,则启动或暂停计时器计时。其功能与实际的计时器的开始/停止按钮功能相同。 -Movement timer design. Design requirements: (1) in liquid crystal displays minutes and seconds, the longest time 59
  3. 所属分类:Compiler program

    • 发布日期:2017-03-30
    • 文件大小:6888
    • 提供者:宁冰旭
  1. jiaotongdeng

    0下载:
  2. 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1935
    • 提供者:靓仔
  1. kuhanshu

    0下载:
  2. 1)按当前流行的以 IBM PC 为主机的开发系统对汇编语言的规定,读者不必再进行修改,便可直接使用。 (2)对浮点运算子程序库进行了进一步的测试和优化,对十进制浮点数和二进制浮点数的相互转换子程序进行了彻底改写,提高了运算精度和可靠性。 (3)新增添了若干个浮点子程序(传送、比较、清零、判零等),使编写数据处理程序的工作变得更简单直观。 在使用说明中开列了最主要的几项:标号、入口条件、出口信息、影响资源、堆栈需求,各项目的意义请参阅《单片机应用程序设计技术》第六章 6.3.7
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:16012
    • 提供者:徐心需
« 1 2 34 5 6 »
搜珍网 www.dssz.com