CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:J*****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. VHDL-NoteTabs-

    0下载量:
  2. 利用实验数控分频器的设计硬件乐曲演奏电路,主系统由三个模块组成,顶层设计文件,其内部有三个功能模块,TONETABA.VHD,NOTETABS.VHD,和SPEAKERA.VHD, 在原设计的基础上,增加一个NOTETABS模块用于产生节拍控制(INDEX数据存留时间)和音阶选择信号,即在NOTETABS模块放置一个乐曲曲谱真值表,由一个计数器的计数值来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。-Experimental NC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5038
搜珍网 www.dssz.com