CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:VHDL-NoteTabs-

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    4.92kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

利用实验数控分频器的设计硬件乐曲演奏电路,主系统由三个模块组成,顶层设计文件,其内部有三个功能模块,TONETABA.VHD,NOTETABS.VHD,和SPEAKERA.VHD, 在原设计的基础上,增加一个NOTETABS模块用于产生节拍控制(INDEX数据存留时间)和音阶选择信号,即在NOTETABS模块放置一个乐曲曲谱真值表,由一个计数器的计数值来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。-Experimental NC divider hardware music played the circuit, the main system consists of three modules, top-level design file, within three functional modules, TONETABA.VHD NOTETABS.VHD, and SPEAKERA.VHD on the basis of the original designadd a NOTETABS module used to generate the beat control (the INDEX data retention time) and scale selection signal that a music scores truth table placed in the NOTETABS module by the count value of a counter to control the output of this truth table,this counter counts the clock signal as the music tempo control signal, which can design a pure hardware music automatically playing the circuit.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

VHDL-NoteTabs-.doc

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com