CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 除法器

搜索资源列表

  1. divide

    1下载:
  2. Verilog hdl语言的常用除法器设计,可使用modelsim进行仿真-Commonly used languages Verilog hdl divider design, can use the ModelSim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:1941
    • 提供者:许立宾
  1. dividend4

    0下载:
  2. 本设计是一个八位被除数除以四位除数,得到不超过四位的商的整数除法器。被除数、除数、商和余数都是无符号整数。-The design is an eight dividend divided by the divisor of four, to be not more than 4 business integer divider. Dividend, divisor, and remainder are unsigned integers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:485969
    • 提供者:howardmu123
  1. fpga_div

    0下载:
  2. Altera的FPGA,设计的硬件除法器-Altera' s FPGA, the design of the hardware divider
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1029809
    • 提供者:裴原
  1. dividers

    0下载:
  2. verilog格式的除法器,试过了,很好用,再也不要为触发器发愁了-Verilog format divider, tried, very good, and no longer for the flip-flop not to worry about the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:10704
    • 提供者:miss zhang
  1. Divider

    0下载:
  2. 一个用vhdl硬件描述语言实现的一个比较简单的除法器-an divider using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:148536
    • 提供者:maxpayne
  1. restoring

    0下载:
  2. restoring除法器设计 经典算法了,可以仿真通过-divider restoring a classical algorithm design, simulation can be adopted
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:600
    • 提供者:sumli
  1. juzhenqufaqi

    0下载:
  2. 基于FPGA单精度浮点除法器的实现,有一些源代码,仅供参考。-FPGA-based single-precision floating-point divider realization, there are some source code, for reference purposes only.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:6227
    • 提供者:helinglin
  1. chufaqichengxu

    0下载:
  2. 除法器程序,除法器模块,定点数除法的相关代码。-Divider procedures, divider module, the related fixed-point code division.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:391808
    • 提供者:jiachen
  1. 4_bit_division

    0下载:
  2. 4位除法器,文件内容为QUARTUS II支持的VHDL语言,用于做四位除法-4_bit_division
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:634
    • 提供者:shenglinfeng
  1. HG_chufaqi_clajiafaqi

    1下载:
  2. VHDL基-16位的无符号除法器,超前进位加法器可改位数。-VHDL-based-16 bit unsigned divider, CLA can be the median.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2335
    • 提供者:Huanggeng
  1. fast_divider

    0下载:
  2. 快速除法器,采用循环移位相减算法。 已经通过仿真。-Quick divider using cyclic shift subtraction algorithm. Simulation has been passed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:569
    • 提供者:neimty
  1. division1

    0下载:
  2. 基于vhdl/verilog的18位除法器程序。已经过仿真和综合。-Based on vhdl/verilog program for 18-bit divider. Has been simulation and synthesis.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:521
    • 提供者:包鼎华
  1. div_8

    0下载:
  2. 八位除法器 VHDL实现 八位除法器 VHDL实现-8-Bit divider 8-Bit divider 8-Bit divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1406
    • 提供者:郑书鑫
  1. div

    0下载:
  2. 二进制除法器,采用移位相减的方法实现,位数可调-The source code of a divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1050
    • 提供者:shengzc
  1. divider

    0下载:
  2. 流水型除法器,经过FPGA平台验证。宽度可以任意修改,提供计算完毕信号。-Water-type divider, after a FPGA platform validation. Width can be modified to provide the calculation is completed the signal.
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-02
    • 文件大小:701
    • 提供者:liu
  1. divider

    0下载:
  2. 带时钟及控制的多位除法器设计,利用状态机来实现控制-multi-cycle divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:96171
    • 提供者:李丽萍
  1. div

    0下载:
  2. 实现了不恢复余数除法器,采用Verilog HDL编码,仿真通过。-Not to restore the balance achieved divider, using Verilog HDL coding, simulation through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1048
    • 提供者:张文
  1. div

    0下载:
  2. VERILOG除法器,已经调试好。大家可以参照学习.-sub-divided function,I have debug it right.It is helpful to you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:128870
    • 提供者:xiaowang
  1. divider

    0下载:
  2. FPGA除法器的使用32位的,有商和余数-FPGA using 32-bit divider, there are the quotient and remainder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1000
    • 提供者:余木
  1. Dividers

    0下载:
  2. 文件中包括各种除法器,不同类型的,不同算法的。(The document includes a variety of divider, different types, different algorithms.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:8192
    • 提供者:FollowSky
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com