CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus2

搜索资源列表

  1. 4_Adder_Unique

    0下载:
  2. Quartus2实现的四位进制并行加法器 用VHDL语言实现
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:169661
    • 提供者:李若珍
  1. stop_watch

    0下载:
  2. 采用Quartus2编写的电子秒表电路 实现计时、暂停等功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:349373
    • 提供者:gz208
  1. clk_scan

    0下载:
  2. 采用Quartus2编写的数码管扫描显示电路 共有三个电路 电路1:当按下启动计时按钮时,实验箱上的8个数码管数码1~8以4Hz的频率,从0到9反复不停计数,8个数码管同一时刻显示同一个数字。当按下异步清零按钮时,则8个数码管均显示为0。 电路2:当按下启动计时按钮时,8个数码管1~8以4Hz的频率完成从0到9的跳跃循环计数,即每一时刻只有一个数码管点亮。即:数码管1计数0后,数码管2计数1,以此类推,数码管8计数7后,数码管1再计数8……。当按下异步清零按钮时,则数码管1点亮,显示
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1248610
    • 提供者:gz208
  1. FSKPSK

    0下载:
  2. FSK和PSK调制与解调的VHDL源程序.是在QUARTUS2环境下开发的.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:302068
    • 提供者:6822
  1. songer-03_24

    1下载:
  2. 基于VHDL的乐曲演奏硬件电路,基于AT的FPGA,由Quartus2编译通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:116036
    • 提供者:ltianyang
  1. quartusII

    0下载:
  2. 简单介绍了Quartus2的应用,具体内容大家可以下载下来看看,
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:847133
    • 提供者:赵晓阳
  1. WDTRun_test

    0下载:
  2. Altera公司的NIOS2SOPC平台上的看门狗试验程序。 Quartus2软件版本5.0 NIOS2IDE软件版本5.0 硬件平台根据软件需求在Quartus2软件中构建
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2002
    • 提供者:zt g
  1. USB_test

    0下载:
  2. Altera公司的NIOS2SOPC平台上的USB使用试验程序。 Quartus2软件版本5.0 NIOS2IDE软件版本5.0 硬件平台根据软件需求在Quartus2软件中构建。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:22906
    • 提供者:zt g
  1. Quartus2-superLicense

    1下载:
  2. 万能Lisence,本许可适用于各个版本的Quartus
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19639
    • 提供者:jiangmin
  1. am

    0下载:
  2. 用DE2板子实现的正选信号发生器,需安装quartus2软件,硬件需要DE2的开发板
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16935709
    • 提供者:任迎
  1. DE2_WEB

    0下载:
  2. 用DE2板子实现的音频分析器,需要安装quartus2,硬件需要DE2的板子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7132215
    • 提供者:任迎
  1. forpof

    0下载:
  2. quartus2 7.1 版本的license,FPGA开发工具的授权文件
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:347140
    • 提供者:shushu
  1. 4FPGA-1

    0下载:
  2. 学习FPGA嵌入式开发的完美视频教程,QUARTUS2软件学习的好帮手
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2989889
    • 提供者:shushu
  1. FPGA-1

    0下载:
  2. 学习FPGA嵌入式开发的完美视频教程,QUARTUS2软件学习的好帮手
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:6623568
    • 提供者:shushu
  1. 7HzUUFHT

    1下载:
  2. altera公司cpld/fpga开发软件quartus2中文使用教程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3098975
    • 提供者:郑洪波
  1. VHDL实现RS232串口通信源码

    0下载:
  2. QUARTUS2下VHDL实现串口通信的源码,整个工程分四个模块:顶层,波特率产生模块,发送模块,接受模块。
  3. 所属分类:源码下载

    • 发布日期:2011-06-30
    • 文件大小:2464
    • 提供者:lkac13
  1. SD_SPI sd卡spi接口的verilog程序

    1下载:
  2. sd卡spi接口的verilog程序,quartus2,全部调好能已经应用于SD卡模块。-sd card spi interface verilog program, quartus2, all tuned to have been used in SD card module.
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-27
    • 文件大小:2700387
    • 提供者:洪传荣
  1. vhdl.rar

    0下载:
  2. 一个很好用的串口的VHDL实现。。quartus2编译通过,Serial port with a very good realization of VHDL. . quartus2 compiled through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:4212
    • 提供者:hehe520
  1. counter.rar

    0下载:
  2. 初学者学习modelsim的好例子,基于Verilog的计数器,带测试源码,在quartus2运行。,Modelsim beginners to learn a good example of Verilog based on the counter, with the test source code, running in quartus2.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3907029
    • 提供者:徐西海
  1. am.rar

    0下载:
  2. 基于matalab simulink中dspbuider实现am调制,将mdl文件转化为vhdl文件,在quartus2里面进行下载验证,Matalab simulink based on the realization of dspbuider modulation am to mdl file into vhdl file, download it in quartus2 authentication
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1769615
    • 提供者:沈友俊
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com