CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - divider

搜索资源列表

  1. devider

    0下载:
  2. a divider design based on verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1987
    • 提供者:Xiao Yang
  1. dividers

    0下载:
  2. verilog格式的除法器,试过了,很好用,再也不要为触发器发愁了-Verilog format divider, tried, very good, and no longer for the flip-flop not to worry about the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:10704
    • 提供者:miss zhang
  1. divider

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:12621
    • 提供者:PoLo
  1. Divider

    0下载:
  2. 一个用vhdl硬件描述语言实现的一个比较简单的除法器-an divider using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:148536
    • 提供者:maxpayne
  1. restoring

    0下载:
  2. restoring除法器设计 经典算法了,可以仿真通过-divider restoring a classical algorithm design, simulation can be adopted
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:600
    • 提供者:sumli
  1. divide

    0下载:
  2. It is n-bit sequential divider in verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1510
    • 提供者:Lisha
  1. juzhenqufaqi

    0下载:
  2. 基于FPGA单精度浮点除法器的实现,有一些源代码,仅供参考。-FPGA-based single-precision floating-point divider realization, there are some source code, for reference purposes only.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:6227
    • 提供者:helinglin
  1. chufaqichengxu

    0下载:
  2. 除法器程序,除法器模块,定点数除法的相关代码。-Divider procedures, divider module, the related fixed-point code division.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:391808
    • 提供者:jiachen
  1. clock_divider

    0下载:
  2. This code contains the simple program that can be used for the clock divider to set any desireable clock from the master clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:647
    • 提供者:Shahzad
  1. ref

    0下载:
  2. non-storing divider in verilog code
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:979
    • 提供者:leo
  1. HG_chufaqi_clajiafaqi

    1下载:
  2. VHDL基-16位的无符号除法器,超前进位加法器可改位数。-VHDL-based-16 bit unsigned divider, CLA can be the median.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2335
    • 提供者:Huanggeng
  1. f_divider

    0下载:
  2. 16-bit frequency divider (32 MHz,16,8,...) based on altera fpga.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:455398
    • 提供者:abu_faisul
  1. divider

    0下载:
  2. 几个有用的分频器电路的VHDL实现。有需要的进来-The divider using VHDL code. if you want, please come in. welcome to give some suggestion. Thank you.
  3. 所属分类:MPI

    • 发布日期:2017-04-04
    • 文件大小:1382
    • 提供者:pengdasong
  1. Freq_Divider

    0下载:
  2. frequency divider using verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:719
    • 提供者:hazwaj
  1. Divider-design-in-three-ways

    0下载:
  2. 三种方式设计的分频器(常用于产生秒脉冲)-Divider design in three ways (often used to produce second pulse)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1733
    • 提供者:luo
  1. divider_latest.tar

    0下载:
  2. floating point divider
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:5322
    • 提供者:charanyakannan
  1. sanfenpin

    0下载:
  2. verilog 三分频 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如altera 的PLL,Xilinx的DLL.来进行时钟的分频,倍频以及相移。-verilog-third of the frequency divider is a FPGA design, very high frequency of use, one of the basic design, although most of the designs in
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:779
    • 提供者:杨化冰
  1. divider

    0下载:
  2. divider code .. in VHDL language
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:179892
    • 提供者:Daaalal
  1. 5956447divider

    0下载:
  2. 基于srt-2算法,利用verilog实现16位定点无符号数除法器(除数、被除数均由16位整数和16位小数组成,商由32位整数和16位小数构成,余数由32位小数组成)-Based on srt-2 algorithm, using verilog to achieve 16-bit fixed-point unsigned divider (divisor, dividend by 16-bit integer and 16-bit decimal form, business from the
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-26
    • 文件大小:3212
    • 提供者:wfwef
  1. divider

    0下载:
  2. 流水型除法器,经过FPGA平台验证。宽度可以任意修改,提供计算完毕信号。-Water-type divider, after a FPGA platform validation. Width can be modified to provide the calculation is completed the signal.
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-02
    • 文件大小:701
    • 提供者:liu
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com