CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - divider

搜索资源列表

  1. PREDICTION.FRACTIONALN.SPURS

    0下载:
  2. Fast settling-time added to the already conflicting requirements of narrow channel spacing and low phase noise lead to Fractional4 divider techniques for PLL synthesizers. We analyze discrete \"beat-note spurious levels from arbitrary modulus di
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:418324
    • 提供者:谢振
  1. divider

    0下载:
  2. 此代码用于实现基2的SRT除法器设计,可以实现400MHz以上的32位定点无符号数除法器(除数、被除数和余数均由16位整数和16位小数组成,商由32位整数和16位小数构成,包括源代码和测试文件,可以直接仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2323
    • 提供者:朱秋玲
  1. divider

    0下载:
  2. 经过精心设计的除法器的代码,并在FPGA硬件平台实现和验证过的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1696
    • 提供者:hewg
  1. divider

    0下载:
  2. 基于srt-2算法,利用verilog实现16位定点无符号数除法器(除数、被除数均由16位整数和16位小数组成,商由32位整数和16位小数构成,余数由32位小数组成)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2933
    • 提供者:刘蒲霞
  1. clkdiv2

    0下载:
  2. a good clock divider
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1509
    • 提供者:Billy Chan
  1. clock-divider

    0下载:
  2. 这是一个关于时钟分频率器的程序,它可以实现频率的扩大。
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:913
    • 提供者:李军
  1. clk-div

    0下载:
  2. VHDL code for a clock divider by 27 circuit with a resulting waveform with 50% duty cycle..
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3035
    • 提供者:李军
  1. 数字钟的设计

    0下载:
  2. 数字式计时器一般都由震荡器,分频器,译码器及显示几部分组成。其中震荡器和分频器组成标准秒信号发生器,接成各种不同进制的计数器组成计时系统,译码器,显示器组成显示系统,另外一些组合电路组成校时调节系统。-digital timer usually are oscillator, dividers, decoder and display several parts. Which oscillator and divider standard component signal generator s
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:118547
    • 提供者:lee
  1. pll_component_design_matlab

    0下载:
  2. PLL system LPF/PFD/VCO/Divider model in Matlab,在Matlab中将PLL系统的各个模块模型话,便于分析整个PLL的环路稳定特性,锁定时间等…… 附录中包含完整的Matlab code
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:92573
    • 提供者:iaman
  1. fet440_uart11_38400

    0下载:
  2. Echo a received character, RX ISR used. Normal mode is LPM0. // USART1 RX interrupt triggers TX Echo. // Baud rate divider with 1048576hz = 1048576/38400 = ~27.31 (01Bh|03h) // ACLK = LFXT1 = 32768Hz, MCLK = SMCLK = default DCO = 32 x ACLK = 10
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1259
    • 提供者:韩彪
  1. 分频器FENPIN1

    0下载:
  2. EDA中常用模块VHDL程序,不同时基的计数器由同一个外部是中输入时必备的分频函数。分频器FENPIN1/2/3(50分频=1HZ,25分频=2HZ,10分频=5HZ。稍微改变程序即可实现)-EDA VHDL modules commonly used procedure, the time - with a counter by the external input is required when the sub-frequency functions. Frequency Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3131
    • 提供者:李培
  1. DoubleDiv

    0下载:
  2. 这是单片机MS-51双字节带符号数除法扩展子程序-This MS-51 with two-bit symbols divider expansion subroutine! !
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2825
    • 提供者:徐祥
  1. fq_divider

    0下载:
  2. A simple program implements a frequency divider.
  3. 所属分类:VHDL编程

  1. 树式除法型开方器VERILOG实现

    3下载:
  2. 树式除法型开方器VERILOG实现,用于任意长度的无符号数的开方运算,Square root of the tree-type divider-type device to achieve VERILOG
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:636
    • 提供者:神气
  1. 数字时钟管理器,xilinx公司开发板集成时钟

    0下载:
  2. 数字时钟管理器,xilinx公司开发板集成时钟,实现分频、倍频等功能。-Digital clock managers, xilinx development board integrated clock divider, multiplier, and other functions.
  3. 所属分类:视频捕捉/采集

    • 发布日期:2017-03-24
    • 文件大小:7271
    • 提供者:lima
  1. c18_divider.rar

    0下载:
  2. 精通verilog HDL语言编程源码之4--常用除法器设计,Proficient in language programming verilog HDL source of 4- Common divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1726
    • 提供者:李平
  1. single_clock_divider.rar

    0下载:
  2. 单周期除法器,速度快,满足频率要求,使得单周期内得到除数,Single-cycle divider speed, to meet the frequency requirements
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:121924
    • 提供者:miss zhang
  1. FPQ.rar

    0下载:
  2. 分频器的vhdl描述,在源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频,Divider vhdl descr iption of the source code at the completion of the CLK clock signal frequency of 2 hours, 4 minutes frequency, frequency of 8 hours, 16 minutes frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1070
    • 提供者:LS
  1. ALU.zip

    0下载:
  2. VHDL实现cpu核心逻辑与运算单元模块的实现,完成4bit*4bit输入8bit输出的运算,可做加减乘除逻辑移位6种操作,the implementation of Arithmetic and logic unit based on VHDL, can do as the adder,subtractor,multiplier,divider,shifter and logic operation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:619981
    • 提供者:caolei
  1. fenpinqi.rar

    0下载:
  2. 用VHDL语言设计分频器要求是将128赫兹的脉冲信号经过分频器分别产生64赫兹,32赫兹,16赫兹,8赫兹,4赫兹, 2赫兹,1赫兹,0.5赫兹的8种频率的信号,Divider design using VHDL language requirement will be 128 Hz pulses were generated through divider 64 Hz, 32 Hz, 16 Hz, 8 Hz, 4 Hz, 2 Hz, 1 Hz, 0.5 Hz frequency of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:584
    • 提供者:高原
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com