CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - divider

搜索资源列表

  1. The-use-of-VHDL-divider-design

    0下载:
  2. 分频器的各种设计方法, 及源代码,源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频。-The use of VHDL divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4740
    • 提供者:王子冉
  1. FPGA-based-multi-Divider

    0下载:
  2. 分频器是指使输出信号频率为输入信号频率1/N的电子电路,N是分频系数。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。 本文当中,在分析研究和总结了分频技术的发展趋势的基础上,以实用、可靠、经济等设计原则为目标,介绍了基于FPGA的多种分频器的设计思路和实现方法。本设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计文件,在QuartusⅡ工具软件环境下
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:4696
    • 提供者:吴红梅
  1. divider

    0下载:
  2. 基于FPGa的32为除法器,从别的地方搞来的,给大家共享以下,算是做贡献。-Divider based on the FPGA 32, to engage in from somewhere else, to share the following to be considered to contribute to.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:668
    • 提供者:段亚斐
  1. Divider

    0下载:
  2. Resistive divider calculator
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-17
    • 文件大小:217339
    • 提供者:VGM
  1. divider

    0下载:
  2. 除法器设计,有详细的步骤-Design of divider, detailed steps
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1669
    • 提供者:longcheng
  1. divider

    0下载:
  2. 分频器,可以实现简单的分频功能,适合初学VHDL语言的初学者-divider , it can realize simple divier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:647
    • 提供者:qinjuchao
  1. divider-procedures

    0下载:
  2. 使用PROTELUS,对ATMEGA16编写的分频器程序并仿真-Use PROTELUS ATMEGA16 written divider procedures and simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:39164
    • 提供者:浩哥
  1. Divider

    0下载:
  2. 一个除法器的FPGA代码设计 Divider-fpga Divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1599
    • 提供者:林伟
  1. divider

    0下载:
  2. 分频器,可任意选择参数分频,带有完整的测试程序-Divider, optional parameters divider with a complete test program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1331
    • 提供者:xiangzi
  1. Simplified-2-frequency-divider

    0下载:
  2. 用verilog语言编写的两个2分频小程序,通过了验证。-Two small written in Verilog language frequency divider applet, passes validation.
  3. 所属分类:MiddleWare

    • 发布日期:2017-11-02
    • 文件大小:3182
    • 提供者:zhangjinbao
  1. divider

    0下载:
  2. VERILOG编写的24位除法器代码核,是FPGA或者ASIC设计中的一核心计算模块。-VERILOG written 24 divider code nuclear FPGA or ASIC design in a core module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:767
    • 提供者:Solomon
  1. signal-phase-voltage-divider

    0下载:
  2. 电力系统仿真软件测试(PSCAD、EMTDC),希望对初学者有用-signal phase voltage divider
  3. 所属分类:Software Testing

    • 发布日期:2017-11-26
    • 文件大小:19384
    • 提供者:黑鬼
  1. The-key-control-divider

    0下载:
  2. 这是一个利用VHDL代码编写通过按键控制的分频器,通过给按键s3、s2、s1、s0赋不同的值,可以使分频器输出不同频率,此代码原用于自制示波器的分频。-This is a use of the VHDL code written by key control divider divider output through to key s3, s2, s1, s0 endowed different values, different frequencies, this code is the o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:5639
    • 提供者:yubaoming
  1. divider

    0下载:
  2. divider 这个程序是教你如何写一个偶数的分频器,用它可以完成任意进制偶数分频器-divider of this program is to teach you how to write an even divider, you can use it to complete any hex even-numbered divider
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-11
    • 文件大小:540
    • 提供者:nx74110
  1. Frequency-divider

    0下载:
  2. 本例程为简易分频器。 实验前,请用排线(杜邦线)将TX-1C学习板的P1^0管脚与P3^2(INT0)管脚相连。因为P1^0用来模拟外界波形输入,它提供周期为100ms的方波,与T1管脚相连后,T1可对其进行周期计数。 程序中的变量pp决定着分频系数,其值乘以2即为分频系数。 改变其值可以得到相应的分频输出波形(方波)。P1^1为输出管脚,将其连接示波器可以看到分频后的波形。-This routine for simple frequency divider. Before experiment
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-13
    • 文件大小:22700
    • 提供者:zhanghuasheng
  1. VHDL-divider-design

    0下载:
  2. VHDL分频器设计,本文使用实例描述了在FPGA/CPLD上使用VHDL进行分频器设计,包括偶数分频、非50 占空比和50 占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。-VHDL divider design, this article describes use cases, including even divide, non-50 duty cycle and 50 duty cycle odd divider, half integer (N+0-cr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:320749
    • 提供者:黄玲
  1. simple-divider

    0下载:
  2. simple divider vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:575
    • 提供者:kavi
  1. Divider

    0下载:
  2. 除法的fpga实现 开发环境ise 语言vhdl-divider ise vhdl fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:4413769
    • 提供者:孙范瑞
  1. frequency-divider-graphic-design

    0下载:
  2. 数字系统EDA 多级分频器图形设计 熟悉和掌握MAX+PlusⅡ的编译、仿真操作。-The multi-level divider graphic design of digital systems EDA familiar with and master MAX+Plus Ⅱ compilation, simulation operation.
  3. 所属分类:Other systems

    • 发布日期:2017-11-10
    • 文件大小:256332
    • 提供者:王海阔
  1. FPGAfrequency-divider

    0下载:
  2. 一种基于FPGA的分频器实现,讲的很详细,很实用,希望能帮助您。-A kind of the frequency divider based on FPGA realization, speak very detailed, very practical, the hope can help you.
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:61500
    • 提供者:陈吧
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com