CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 除法器

搜索资源列表

  1. 除法器

    0下载:
  2. 通过用硬件描述语言(VHDL)描述除法器,并进行模拟验证,加深对二进制数运算方法的理解。 设计平台:MaxPlusII 压缩文件内有详细设计报告 -by using Hardware Descr iption Language (VHDL) Descr iption division, and conduct simulation shows that the binary number deepen understanding of the operation. Design Pl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:50091
    • 提供者:johnmad
  1. 1.7运算器部件实验:除法器

    0下载:
  2. 这个是用vhdl语言编写的除法器,仅仅供大家参考.-the VHDL language is used to prepare for the division, just for reference.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:152080
    • 提供者:李乐雅
  1. fpdiv_vhdl四位除法器

    0下载:
  2. fpdiv_vhdl四位除法器 -- DEscr iptION : Signed divider -- A (A) input width : 4 -- B (B) input width : 4 -- Q (data_out) output width : 4 -- DIV_BY_0 (DIVz) output active : high-fpdiv_vhdl four divider -- DEscr iptION : Signed divider -- A (A) in
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:983
    • 提供者:张洪
  1. vhdl实现除法器

    0下载:
  2. vhdl实现除法器
  3. 所属分类:其它资源

    • 发布日期:2010-10-27
    • 文件大小:1050
    • 提供者:sunchao1228
  1. div2 32位除法器

    0下载:
  2. :32位除法器 被除数和除数均为16位整数,16位小数 商为32位整数,16位小数 余数为16位整数,16位小数 Verilog HDL 代码-32
  3. 所属分类:Windows编程

  1. VHDL除法器

    0下载:
  2. 用vhdl实现除法器,很好用,经过验证!
  3. 所属分类:源码下载

  1. 除法器verilog

    0下载:
  2. 32位除法器,verilog编写
  3. 所属分类:源码下载

  1. divider.8位的除法器

    0下载:
  2. 8位的除法器。用VHDL语言进行设计实现。,8-bit divider. With VHDL design languages.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-27
    • 文件大小:5164
    • 提供者:张怡萍
  1. c18_divider.rar

    0下载:
  2. 精通verilog HDL语言编程源码之4--常用除法器设计,Proficient in language programming verilog HDL source of 4- Common divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1726
    • 提供者:李平
  1. single_clock_divider.rar

    0下载:
  2. 单周期除法器,速度快,满足频率要求,使得单周期内得到除数,Single-cycle divider speed, to meet the frequency requirements
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:121924
    • 提供者:miss zhang
  1. div(FLP).rar

    0下载:
  2. 是Nios II處理器下客製化指令的一個32位元浮點數除法器,可將兩IEEE 754格式的值進行相除,Nios II processors are customized instruction under a 32-bit floating-point divider can be two format IEEE 754 value division
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:18360
    • 提供者:TTJ
  1. div.rar

    0下载:
  2. 除法器实验 verilog CPLD EPM1270 源代码,Experimental divider verilog CPLDEPM1270 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:117710
    • 提供者:韩思贤
  1. divider

    1下载:
  2. 移位快速除法器,通过一次移4位试商实现快速除法功能,较普通减除法器有及其巨大的效率提升-Divider rapid shift by a shift to four test functions of rapid division, as compared with ordinary objects have less efficiency and its huge
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:900
    • 提供者:jh
  1. 除法器的设计本文所采用的除法原理

    0下载:
  2. 除法器的设计本文所采用的除法原理是:对于八位无符号被除数A,先对A转换成高八位是0低八位是A的数C,在时钟脉冲的每个上升沿C 向左移动一位,最后一位补零,同时判断C的高八位是否大于除数B,如是则C的高八位减去B,同时进行移位操作,将C的第二位置1。否则,继续移位操作。经过八个周期后,所得到的C的高八位为余数,第八位为商。从图(1)可清楚地看出此除法器的工作原理。此除法器主要包括比较器、减法器、移位器、控制器等模块。-Divider design used in this paper, the p
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-25
    • 文件大小:4286
    • 提供者:老毕
  1. 32_16div

    1下载:
  2. 这是一个简单的除法器(32bit/16bit),采用移位相减法-This is a simple divider (32bit/16bit), using phase shift subtraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:835
    • 提供者:郭勇谅
  1. chufaqiziliao

    0下载:
  2. 除法器资料,做除法器的朋友们不可或缺的好论文啊。-Divider information, so the divider indispensable good friends ah paper.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:305433
    • 提供者:jiachen
  1. vhd_divider

    0下载:
  2. lattice isplever7竟然没有除法库,只好在网上找了老外写的vhdl除法器-lattice isplever7 Treasury did not divide, so the Internet to find a foreigner to write the VHDL divider
  3. 所属分类:MPI

    • 发布日期:2017-03-29
    • 文件大小:6232
    • 提供者:guyh
  1. divide

    0下载:
  2. 除法器-Divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2442
    • 提供者:wangzhide
  1. div16

    0下载:
  2. 十六位的除法器,采用verilog hdl-16 of the divider using verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3105
    • 提供者:江浩
  1. baweichufaqi

    0下载:
  2. 介绍了利用VHDL实现八位除法,采用层次化设计,该除法器采用了VHDL的混合输入方式,将除法器分成若干个子模块后,对各个子模块分别设计,各自生成功能模块完成整体设计,实现了任意八位无符号数的除法。 -Introduced the use of VHDL to achieve eight division, the use of hierarchical design, the divider using VHDL mixed-input methods, will be divided in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4653
    • 提供者:佘斌
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com