CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .24 .25 .26 .27 .28 33529.30 .31 .32 .33 .34 ... 33645 »
  1. ad7606_control

    3下载:
  2. ad7606 fpga接口 程序 ,实现ad7606的串口 读写,数据缓存-ad7606 controller,writen by verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-17
    • 文件大小:1024
    • 提供者:wewew
  1. Ethernet_Accel_Design

    3下载:
  2. altera官方以太网例程(基于niosII)-Accelerating Nios II Ethernet Applications User Guide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-28
    • 文件大小:2655232
    • 提供者:王焱
  1. calculator

    3下载:
  2. 基于FPGA DE2开发板的计算器设计。Verilog语言编写。矩阵键盘输入,LCD1602显示。程序包括按键扫描模块、数值处理计算模块和LCD控制写模块等。-Calculator design based on FPGA DE2 development board. language use Verilog. Matrix keyboard input, LCD1602 display. Program includes key scanning module and LCD module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-01
    • 文件大小:3156992
    • 提供者:尹仁超
  1. hpi

    3下载:
  2. 实现FPGA控制DSP的HPI接口,使用verilog接口-Achieve FPGA DSP HPI interface control, use verilog interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-27
    • 文件大小:1024
    • 提供者:冰汪
  1. AD9289-LVDS-FPGA

    3下载:
  2. LVDS ADC AD9289的FPGA接口参考设计-LVDS ADC AD9289 FPGA reference design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-08-24
    • 文件大小:1306624
    • 提供者:Eddie
  1. si5324_i2c

    3下载:
  2. simple I2C module for configuring si5324 to 156.25 MHz clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7581
    • 提供者:Roma
  1. cdr

    3下载:
  2. 数据时钟恢复,采样8倍率高频时钟进行数据时钟恢复。已通过Modelsim仿真-Data and clock recovery, sampling 8 times the rate of high frequency clock for clock and data recovery. Have been through the Modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1024
    • 提供者:王明明
  1. car

    3下载:
  2. 基于Xilinx公司的ISE软件开发的智能循迹避障小车的源代码,用Verilog语言,传感器有红外传感器以及超声波传感器-Xilinx' s ISE-based software development intelligent car tracking avoidance source code, using Verilog language, the sensor has an infrared sensor and ultrasonic sensors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-25
    • 文件大小:442368
    • 提供者:郭广宇
  1. AD9910

    3下载:
  2. 基于AD9910的Verilog程序,实现QPSK调制,只要再加少量代码就可实现8PSK调制-Based on Verilog AD9910 procedure, realization of QPSK modulation, just add a small amount of code can achieve 8PSK modulation
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:5557
    • 提供者:
  1. YCbCr444_YCbCr422

    3下载:
  2. FPGA YCbCr444转YCbCr422实验 很好的参考-FPGA EP4CE40F23C6 YCbCr444 turn YCbCr422 experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:608904
    • 提供者:lrihuan
  1. pro_1588

    3下载:
  2. 基于verilog的1588V2协议的fpga实现,目前项目通用代码,供大家参考-Based on verilog 1588 v2 fpga implementation of the agreement, the project general code, for your reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:115473
    • 提供者:小刚
  1. MAX10-on-chip-flash-controller

    3下载:
  2. Altera MAX10 FPGA on-chip flash控制器代码,虽然由QII生成,但可以从中学习到很多硬件描述语言的设计方法,希望能够帮助那些正在学习VHDL语言设计的人。-Altera MAX10 FPGA on-chip flash controller code, although generated by QII, but you can learn a lot of hardware descr iption language design methods, hoping t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:11808
    • 提供者:zhang
« 1 2 ... .24 .25 .26 .27 .28 33529.30 .31 .32 .33 .34 ... 33645 »
搜珍网 www.dssz.com