CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 17 18 19 20 21 2223 24 25 26 27 ... 4322 »
  1. E7_3

    1下载:
  2. 对基于符号LMS算法的自适应均衡器进行仿真。要求分别进行算法的性能仿真、生成FPGA测试用的输入信号、仿真权值在运算过程中的数据范围(The adaptive equalizer based on the symbol LMS algorithm is simulated. The performance simulation of the algorithm is required, the input signal for FPGA test is generated, and the da
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-15
    • 文件大小:950272
    • 提供者:SEXYLADY
  1. PID_Verilog

    2下载:
  2. PID算法用verilog语言实现,实测可用,由三个模块组成(The PID algorithm is implemented in Verilog language. The actual measurement is available. It consists of three modules.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-29
    • 文件大小:1024
    • 提供者:wrnd
  1. 基于verilog的CAN总线代码

    5下载:
  2. 用Verilog实现CAN总线,经过仿真验证,可以直接用!
  3. 所属分类:VHDL编程

  1. Verilog数字VLSI设计教程(源码)

    0下载:
  2. Verilog 数字VLSI 设计教程 官方Lab(Verilog Digital VLSI Design Course Official Lab)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-08-01
    • 文件大小:11476992
    • 提供者:brico
  1. ad5764Verilog

    1下载:
  2. AD5764配置程序 ,使用verilog编写,希望能够帮助大家(AD5764 configuration program, written in verilog, I hope to help everyone)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-14
    • 文件大小:7005184
    • 提供者:SUBZERO
  1. Verilog HDL

    1下载:
  2. 2015年全国电子设计大赛F题,时间间隔测量模块,占空比测量模块,ISE编写的verilog程序。(2015 national electronic design competition F title, time interval measurement module, verilog program written by ISE.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-29
    • 文件大小:2048
    • 提供者:鹤鹤鹤鹤
  1. VHDLcounter

    0下载:
  2. VHDL,四位counter,用Vivado写的,可运行,可模拟,可仿真,可写入硬件里,四个指示灯会每一秒闪一次。
  3. 所属分类:VHDL编程

    • 发布日期:2019-04-09
    • 文件大小:67801
    • 提供者:ftqa@qq.com
  1. test

    1下载:
  2. 用fpga实现抢答器功能,包含源程序,可以直接运行。(FPGA is used to implement the function of answering machine, including the source program, which can run directly.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 24_Timer

    4下载:
  2. 使用Verilog编写的24位定时器,具有apb 总线接口,可以设置工作方式和计数初值。(The 24-bit timer written by Verilog has APB bus interface, which can set working mode and count initial value.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-26
    • 文件大小:1024
    • 提供者:libus
  1. Cortex-M1

    1下载:
  2. Verilog Cortex-M1 source code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-19
    • 文件大小:5365760
    • 提供者:Dilogic
  1. VERILOG

    0下载:
  2. 基础的几个verilog代码实现,讲到case和task的使用。(basic verilog,use case and task ,very usual, i want some help to achieve the design of delta and sigma fractional_n divider.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-23
    • 文件大小:88064
    • 提供者:sana00
  1. package_control-master

    1下载:
  2. 从github下载的,能够参考设计AXI4的协议接口(AXI4 Verilog template)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-25
    • 文件大小:461824
    • 提供者:danieltang
« 1 2 ... 17 18 19 20 21 2223 24 25 26 27 ... 4322 »
搜珍网 www.dssz.com