CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:Example-1-1

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    576.34kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

XILINX ISE 9.X FPGA/CPLD设计指南第一章代码-XILINX ISE 9.X FPGA/CPLD Design Guide Chapter code
(系统自动生成,下载前可以参看下载内容)

下载文件列表

Example-1-1/prescale_counter_ver/prescale_counter.bld
Example-1-1/prescale_counter_ver/prescale_counter.cmd_log
Example-1-1/prescale_counter_ver/prescale_counter.lso
Example-1-1/prescale_counter_ver/prescale_counter.ncd
Example-1-1/prescale_counter_ver/prescale_counter.ngc
Example-1-1/prescale_counter_ver/prescale_counter.ngd
Example-1-1/prescale_counter_ver/prescale_counter.ngr
Example-1-1/prescale_counter_ver/prescale_counter.pad
Example-1-1/prescale_counter_ver/prescale_counter.par
Example-1-1/prescale_counter_ver/prescale_counter.pcf
Example-1-1/prescale_counter_ver/prescale_counter.prj
Example-1-1/prescale_counter_ver/prescale_counter.stx
Example-1-1/prescale_counter_ver/prescale_counter.syr
Example-1-1/prescale_counter_ver/prescale_counter.twr
Example-1-1/prescale_counter_ver/prescale_counter.twx
Example-1-1/prescale_counter_ver/prescale_counter.unroutes
Example-1-1/prescale_counter_ver/prescale_counter.xpi
Example-1-1/prescale_counter_ver/prescale_counter.xst
Example-1-1/prescale_counter_ver/prescale_counter_fpga_editor.log
Example-1-1/prescale_counter_ver/prescale_counter_guide.ncd
Example-1-1/prescale_counter_ver/prescale_counter_map.map
Example-1-1/prescale_counter_ver/prescale_counter_map.mrp
Example-1-1/prescale_counter_ver/prescale_counter_map.ncd
Example-1-1/prescale_counter_ver/prescale_counter_map.ngm
Example-1-1/prescale_counter_ver/prescale_counter_pad.csv
Example-1-1/prescale_counter_ver/prescale_counter_pad.txt
Example-1-1/prescale_counter_ver/prescale_counter_prev_built.ngd
Example-1-1/prescale_counter_ver/prescale_counter_summary.html
Example-1-1/prescale_counter_ver/prescale_counter_summary.xml
Example-1-1/prescale_counter_ver/prescale_counter_usage.xml
Example-1-1/prescale_counter_ver/prescale_counter_ver.ise
Example-1-1/prescale_counter_ver/prescale_counter_ver.ise_ISE_Backup
Example-1-1/prescale_counter_ver/prescale_counter_ver.ntrc_log
Example-1-1/prescale_counter_ver/xst/dump.xst/prescale_counter.prj/ntrc.scr
Example-1-1/prescale_counter_ver/xst/work/hdllib.ref
Example-1-1/prescale_counter_ver/xst/work/vlg12/prescale__counter.bin
Example-1-1/prescale_counter_ver/_ngo/netlist.lst
Example-1-1/prescale_counter_ver/_xmsgs/map.xmsgs
Example-1-1/prescale_counter_ver/_xmsgs/ngdbuild.xmsgs
Example-1-1/prescale_counter_ver/_xmsgs/par.xmsgs
Example-1-1/prescale_counter_ver/_xmsgs/trce.xmsgs
Example-1-1/prescale_counter_ver/_xmsgs/xst.xmsgs
Example-1-1/prescale_counter_vhd/prescale_counter.bld
Example-1-1/prescale_counter_vhd/prescale_counter.cmd_log
Example-1-1/prescale_counter_vhd/prescale_counter.lso
Example-1-1/prescale_counter_vhd/prescale_counter.ncd
Example-1-1/prescale_counter_vhd/prescale_counter.ngc
Example-1-1/prescale_counter_vhd/prescale_counter.ngd
Example-1-1/prescale_counter_vhd/prescale_counter.ngr
Example-1-1/prescale_counter_vhd/prescale_counter.pad
Example-1-1/prescale_counter_vhd/prescale_counter.par
Example-1-1/prescale_counter_vhd/prescale_counter.pcf
Example-1-1/prescale_counter_vhd/prescale_counter.prj
Example-1-1/prescale_counter_vhd/prescale_counter.stx
Example-1-1/prescale_counter_vhd/prescale_counter.syr
Example-1-1/prescale_counter_vhd/prescale_counter.twr
Example-1-1/prescale_counter_vhd/prescale_counter.twx
Example-1-1/prescale_counter_vhd/prescale_counter.unroutes
Example-1-1/prescale_counter_vhd/prescale_counter.xpi
Example-1-1/prescale_counter_vhd/prescale_counter.xst
Example-1-1/prescale_counter_vhd/prescale_counter_fpga_editor.log
Example-1-1/prescale_counter_vhd/prescale_counter_guide.ncd
Example-1-1/prescale_counter_vhd/prescale_counter_map.map
Example-1-1/prescale_counter_vhd/prescale_counter_map.mrp
Example-1-1/prescale_counter_vhd/prescale_counter_map.ncd
Example-1-1/prescale_counter_vhd/prescale_counter_map.ngm
Example-1-1/prescale_counter_vhd/prescale_counter_pad.csv
Example-1-1/prescale_counter_vhd/prescale_counter_pad.txt
Example-1-1/prescale_counter_vhd/prescale_counter_prev_built.ngd
Example-1-1/prescale_counter_vhd/prescale_counter_summary.html
Example-1-1/prescale_counter_vhd/prescale_counter_summary.xml
Example-1-1/prescale_counter_vhd/prescale_counter_usage.xml
Example-1-1/prescale_counter_vhd/prescale_counter_vhd.ise
Example-1-1/prescale_counter_vhd/prescale_counter_vhd.ise_ISE_Backup
Example-1-1/prescale_counter_vhd/prescale_counter_vhd.ntrc_log
Example-1-1/prescale_counter_vhd/prescale_counter_vhdl.prj
Example-1-1/prescale_counter_vhd/xst/dump.xst/prescale_counter.prj/ntrc.scr
Example-1-1/prescale_counter_vhd/xst/work/hdllib.ref
Example-1-1/prescale_counter_vhd/xst/work/hdpdeps.ref
Example-1-1/prescale_counter_vhd/xst/work/sub00/vhpl00.vho
Example-1-1/prescale_counter_vhd/xst/work/sub00/vhpl01.vho
Example-1-1/prescale_counter_vhd/_ngo/netlist.lst
Example-1-1/prescale_counter_vhd/_xmsgs/map.xmsgs
Example-1-1/prescale_counter_vhd/_xmsgs/ngdbuild.xmsgs
Example-1-1/prescale_counter_vhd/_xmsgs/par.xmsgs
Example-1-1/prescale_counter_vhd/_xmsgs/trce.xmsgs
Example-1-1/prescale_counter_vhd/_xmsgs/xst.xmsgs
Example-1-1/source/Verilog/prescale_counter.v
Example-1-1/source/VHDL/prescale_counter.vhd
Example-1-1/prescale_counter_ver/xst/dump.xst/prescale_counter.prj/n

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com