CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:fir_filter

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    363.54kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

finite impulse response filter verilog
(系统自动生成,下载前可以参看下载内容)

下载文件列表

fir_filter/.sopc_builder/filters.xml
fir_filter/acc.v
fir_filter/accum.bsf
fir_filter/accum.v
fir_filter/db/add_sub_57h.tdf
fir_filter/db/add_sub_d8h.tdf
fir_filter/db/add_sub_uoe.tdf
fir_filter/db/filtref.(0).cnf.cdb
fir_filter/db/filtref.(0).cnf.hdb
fir_filter/db/filtref.(1).cnf.cdb
fir_filter/db/filtref.(1).cnf.hdb
fir_filter/db/filtref.(10).cnf.cdb
fir_filter/db/filtref.(10).cnf.hdb
fir_filter/db/filtref.(11).cnf.cdb
fir_filter/db/filtref.(11).cnf.hdb
fir_filter/db/filtref.(12).cnf.cdb
fir_filter/db/filtref.(12).cnf.hdb
fir_filter/db/filtref.(13).cnf.cdb
fir_filter/db/filtref.(13).cnf.hdb
fir_filter/db/filtref.(14).cnf.cdb
fir_filter/db/filtref.(14).cnf.hdb
fir_filter/db/filtref.(15).cnf.cdb
fir_filter/db/filtref.(15).cnf.hdb
fir_filter/db/filtref.(16).cnf.cdb
fir_filter/db/filtref.(16).cnf.hdb
fir_filter/db/filtref.(17).cnf.cdb
fir_filter/db/filtref.(17).cnf.hdb
fir_filter/db/filtref.(2).cnf.cdb
fir_filter/db/filtref.(2).cnf.hdb
fir_filter/db/filtref.(3).cnf.cdb
fir_filter/db/filtref.(3).cnf.hdb
fir_filter/db/filtref.(4).cnf.cdb
fir_filter/db/filtref.(4).cnf.hdb
fir_filter/db/filtref.(5).cnf.cdb
fir_filter/db/filtref.(5).cnf.hdb
fir_filter/db/filtref.(6).cnf.cdb
fir_filter/db/filtref.(6).cnf.hdb
fir_filter/db/filtref.(7).cnf.cdb
fir_filter/db/filtref.(7).cnf.hdb
fir_filter/db/filtref.(8).cnf.cdb
fir_filter/db/filtref.(8).cnf.hdb
fir_filter/db/filtref.(9).cnf.cdb
fir_filter/db/filtref.(9).cnf.hdb
fir_filter/db/filtref.cbx.xml
fir_filter/db/filtref.cmp.bpm
fir_filter/db/filtref.cmp.cdb
fir_filter/db/filtref.cmp.hdb
fir_filter/db/filtref.cmp.kpt
fir_filter/db/filtref.cmp.logdb
fir_filter/db/filtref.cmp.rdb
fir_filter/db/filtref.cmp_merge.kpt
fir_filter/db/filtref.db_info
fir_filter/db/filtref.eco.cdb
fir_filter/db/filtref.eds_overflow
fir_filter/db/filtref.fit.qmsg
fir_filter/db/filtref.fnsim.cdb
fir_filter/db/filtref.fnsim.hdb
fir_filter/db/filtref.fnsim.qmsg
fir_filter/db/filtref.hier_info
fir_filter/db/filtref.hif
fir_filter/db/filtref.lpc.html
fir_filter/db/filtref.lpc.rdb
fir_filter/db/filtref.lpc.txt
fir_filter/db/filtref.map.bpm
fir_filter/db/filtref.map.cdb
fir_filter/db/filtref.map.ecobp
fir_filter/db/filtref.map.hdb
fir_filter/db/filtref.map.kpt
fir_filter/db/filtref.map.logdb
fir_filter/db/filtref.map.qmsg
fir_filter/db/filtref.map_bb.cdb
fir_filter/db/filtref.map_bb.hdb
fir_filter/db/filtref.map_bb.logdb
fir_filter/db/filtref.pre_map.cdb
fir_filter/db/filtref.pre_map.hdb
fir_filter/db/filtref.rtlv.hdb
fir_filter/db/filtref.rtlv_sg.cdb
fir_filter/db/filtref.rtlv_sg_swap.cdb
fir_filter/db/filtref.sgdiff.cdb
fir_filter/db/filtref.sgdiff.hdb
fir_filter/db/filtref.sim.cvwf
fir_filter/db/filtref.sim.hdb
fir_filter/db/filtref.sim.qmsg
fir_filter/db/filtref.sim.rdb
fir_filter/db/filtref.simfam
fir_filter/db/filtref.sld_design_entry.sci
fir_filter/db/filtref.sld_design_entry_dsc.sci
fir_filter/db/filtref.syn_hier_info
fir_filter/db/filtref.tis_db_list.ddb
fir_filter/db/filtref.tmw_info
fir_filter/db/fir_filter.smp_dump.txt
fir_filter/db/mux_umc.tdf
fir_filter/db/prev_cmp_filtref.map.qmsg
fir_filter/db/prev_cmp_filtref.sim.qmsg
fir_filter/db/prev_cmp_fir_filter.qmsg
fir_filter/db/wed.wsf
fir_filter/filtref.bdf
fir_filter/filtref.done
fir_filter/filtref.dpf
fir_filter/filtref.fit.rpt
fir_filter/filtref.fit.summary
fir_filter/filtref.flow.rpt
fir_filter/filtref.map.rpt
fir_filter/filtref.map.summary
fir_filter/filtref.pin
fir_filter/filtref.qsf
fir_filter/filtref.sim.rpt
fir_filter/fir.vwf
fir_filter/fir_filter.qpf
fir_filter/fir_filter.qws
fir_filter/hvalues.v
fir_filter/incremental_db/compiled_partitions/filtref.root_partition.map.atm
fir_filter/incremental_db/compiled_partitions/filtref.root_partition.map.dpi
fir_filter/incremental_db/compiled_partitions/filtref.root_partition.map.hdbx
fir_filter/incremental_db/compiled_partitions/filtref.root_partition.map.kpt
fir_filter/incremental_db/README
fir_filter/makefile.gmake
fir_filter/mult.bsf
fir_filter/mult.v
fir_filter/sopc_builder_log.txt
fir_filter/state_m.v
fir_filter/taps.v
fir_filter/incremental_db/compiled_partitions
fir_filter/.sopc_builder
fir_filter/db
fir_filter/incremental_db
fir_filter

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com