CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:FIFO

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    724kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

采用IP生成的同步FIFO代码资料,希望对大家有帮助!-Synchronous FIFO using IP generated code data, we want to help!
(系统自动生成,下载前可以参看下载内容)

下载文件列表

FIFO/CLK_DIV.bsf
FIFO/CLK_DIV.v
FIFO/CLK_DIV.v.bak
FIFO/db/altsyncram_5ku.tdf
FIFO/db/alt_synch_pipe_5u7.tdf
FIFO/db/alt_synch_pipe_6u7.tdf
FIFO/db/a_graycounter_11c.tdf
FIFO/db/a_graycounter_21c.tdf
FIFO/db/a_graycounter_d86.tdf
FIFO/db/cmpr_q16.tdf
FIFO/db/dcfifo_4ej1.tdf
FIFO/db/dffpipe_0v8.tdf
FIFO/db/dffpipe_c2e.tdf
FIFO/db/dffpipe_vu8.tdf
FIFO/db/fifo_module.(0).cnf.cdb
FIFO/db/fifo_module.(0).cnf.hdb
FIFO/db/fifo_module.(1).cnf.cdb
FIFO/db/fifo_module.(1).cnf.hdb
FIFO/db/fifo_module.(10).cnf.cdb
FIFO/db/fifo_module.(10).cnf.hdb
FIFO/db/fifo_module.(11).cnf.cdb
FIFO/db/fifo_module.(11).cnf.hdb
FIFO/db/fifo_module.(12).cnf.cdb
FIFO/db/fifo_module.(12).cnf.hdb
FIFO/db/fifo_module.(13).cnf.cdb
FIFO/db/fifo_module.(13).cnf.hdb
FIFO/db/fifo_module.(14).cnf.cdb
FIFO/db/fifo_module.(14).cnf.hdb
FIFO/db/fifo_module.(15).cnf.cdb
FIFO/db/fifo_module.(15).cnf.hdb
FIFO/db/fifo_module.(2).cnf.cdb
FIFO/db/fifo_module.(2).cnf.hdb
FIFO/db/fifo_module.(3).cnf.cdb
FIFO/db/fifo_module.(3).cnf.hdb
FIFO/db/fifo_module.(4).cnf.cdb
FIFO/db/fifo_module.(4).cnf.hdb
FIFO/db/fifo_module.(5).cnf.cdb
FIFO/db/fifo_module.(5).cnf.hdb
FIFO/db/fifo_module.(6).cnf.cdb
FIFO/db/fifo_module.(6).cnf.hdb
FIFO/db/fifo_module.(7).cnf.cdb
FIFO/db/fifo_module.(7).cnf.hdb
FIFO/db/fifo_module.(8).cnf.cdb
FIFO/db/fifo_module.(8).cnf.hdb
FIFO/db/fifo_module.(9).cnf.cdb
FIFO/db/fifo_module.(9).cnf.hdb
FIFO/db/fifo_module.asm.qmsg
FIFO/db/fifo_module.asm_labs.ddb
FIFO/db/fifo_module.cbx.xml
FIFO/db/fifo_module.cmp.bpm
FIFO/db/fifo_module.cmp.cdb
FIFO/db/fifo_module.cmp.ecobp
FIFO/db/fifo_module.cmp.hdb
FIFO/db/fifo_module.cmp.kpt
FIFO/db/fifo_module.cmp.logdb
FIFO/db/fifo_module.cmp.rdb
FIFO/db/fifo_module.cmp.tdb
FIFO/db/fifo_module.cmp0.ddb
FIFO/db/fifo_module.cmp2.ddb
FIFO/db/fifo_module.cmp_merge.kpt
FIFO/db/fifo_module.db_info
FIFO/db/fifo_module.eco.cdb
FIFO/db/fifo_module.eds_overflow
FIFO/db/fifo_module.fit.qmsg
FIFO/db/fifo_module.hier_info
FIFO/db/fifo_module.hif
FIFO/db/fifo_module.lpc.html
FIFO/db/fifo_module.lpc.rdb
FIFO/db/fifo_module.lpc.txt
FIFO/db/fifo_module.map.bpm
FIFO/db/fifo_module.map.cdb
FIFO/db/fifo_module.map.ecobp
FIFO/db/fifo_module.map.hdb
FIFO/db/fifo_module.map.kpt
FIFO/db/fifo_module.map.logdb
FIFO/db/fifo_module.map.qmsg
FIFO/db/fifo_module.map_bb.cdb
FIFO/db/fifo_module.map_bb.hdb
FIFO/db/fifo_module.map_bb.logdb
FIFO/db/fifo_module.pre_map.cdb
FIFO/db/fifo_module.pre_map.hdb
FIFO/db/fifo_module.rpp.qmsg
FIFO/db/fifo_module.rtlv.hdb
FIFO/db/fifo_module.rtlv_sg.cdb
FIFO/db/fifo_module.rtlv_sg_swap.cdb
FIFO/db/fifo_module.sgate.rvd
FIFO/db/fifo_module.sgate_sm.rvd
FIFO/db/fifo_module.sgdiff.cdb
FIFO/db/fifo_module.sgdiff.hdb
FIFO/db/fifo_module.sim.cvwf
FIFO/db/fifo_module.sim.hdb
FIFO/db/fifo_module.sim.qmsg
FIFO/db/fifo_module.sim.rdb
FIFO/db/fifo_module.sld_design_entry.sci
FIFO/db/fifo_module.sld_design_entry_dsc.sci
FIFO/db/fifo_module.syn_hier_info
FIFO/db/fifo_module.tan.qmsg
FIFO/db/fifo_module.tis_db_list.ddb
FIFO/db/fifo_module.tmw_info
FIFO/db/prev_cmp_fifo_module.asm.qmsg
FIFO/db/prev_cmp_fifo_module.fit.qmsg
FIFO/db/prev_cmp_fifo_module.map.qmsg
FIFO/db/prev_cmp_fifo_module.qmsg
FIFO/db/prev_cmp_fifo_module.sim.qmsg
FIFO/db/prev_cmp_fifo_module.tan.qmsg
FIFO/db/wed.wsf
FIFO/fifo.bsf
FIFO/fifo.qip
FIFO/fifo.v
FIFO/fifo_inst.v
FIFO/fifo_module.asm.rpt
FIFO/fifo_module.bdf
FIFO/fifo_module.done
FIFO/fifo_module.fit.rpt
FIFO/fifo_module.fit.smsg
FIFO/fifo_module.fit.summary
FIFO/fifo_module.flow.rpt
FIFO/fifo_module.map.rpt
FIFO/fifo_module.map.summary
FIFO/fifo_module.pin
FIFO/fifo_module.pof
FIFO/fifo_module.qpf
FIFO/fifo_module.qsf
FIFO/fifo_module.qws
FIFO/fifo_module.sim.rpt
FIFO/fifo_module.sof
FIFO/fifo_module.tan.rpt
FIFO/fifo_module.tan.summary
FIFO/fifo_module.vwf
FIFO/fifo_wave0.jpg
FIFO/fifo_wave1.jpg
FIFO/fifo_waveforms.html
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.cmp.atm
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.cmp.dfp
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.cmp.hdbx
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.cmp.kpt
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.cmp.logdb
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.cmp.rcf
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.map.atm
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.map.dpi
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.map.hdbx
FIFO/incremental_db/compiled_partitions/fifo_module.root_partition.map.kpt
FIFO/incremental_db/README
FIFO/incremental_db/compiled_partitions
FIFO/db
FIFO/incremental_db
FIFO

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com