CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:FIFO

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    3.03mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

FIFO先进先出控制,调Quartus内核-FIFO IPcore
(系统自动生成,下载前可以参看下载内容)

下载文件列表

FIFO/
FIFO/Block1.bdf
FIFO/Block1.bsf
FIFO/data_wr_rd.bsf
FIFO/data_wr_rd.v
FIFO/data_wr_rd.v.bak
FIFO/db/
FIFO/db/altsyncram_27p3.tdf
FIFO/db/altsyncram_47p3.tdf
FIFO/db/altsyncram_67p3.tdf
FIFO/db/altsyncram_7ve1.tdf
FIFO/db/altsyncram_87p3.tdf
FIFO/db/altsyncram_8bc1.tdf
FIFO/db/altsyncram_9m61.tdf
FIFO/db/altsyncram_c7p3.tdf
FIFO/db/altsyncram_d7p3.tdf
FIFO/db/altsyncram_e4p3.tdf
FIFO/db/altsyncram_egq1.tdf
FIFO/db/altsyncram_ggq1.tdf
FIFO/db/altsyncram_igq1.tdf
FIFO/db/altsyncram_kgq1.tdf
FIFO/db/altsyncram_lse1.tdf
FIFO/db/altsyncram_ogq1.tdf
FIFO/db/altsyncram_pgq1.tdf
FIFO/db/altsyncram_qdq1.tdf
FIFO/db/altsyncram_ro61.tdf
FIFO/db/altsyncram_tmu.tdf
FIFO/db/alt_synch_pipe_0e8.tdf
FIFO/db/alt_synch_pipe_hv7.tdf
FIFO/db/alt_synch_pipe_iv7.tdf
FIFO/db/alt_synch_pipe_jcb.tdf
FIFO/db/alt_synch_pipe_nc8.tdf
FIFO/db/alt_synch_pipe_sdb.tdf
FIFO/db/a_graycounter_6fc.tdf
FIFO/db/a_graycounter_7fc.tdf
FIFO/db/a_graycounter_d2c.tdf
FIFO/db/a_graycounter_e2c.tdf
FIFO/db/a_graycounter_fgc.tdf
FIFO/db/a_graycounter_g86.tdf
FIFO/db/a_graycounter_ggc.tdf
FIFO/db/a_graycounter_p96.tdf
FIFO/db/cmpr_5cc.tdf
FIFO/db/cmpr_636.tdf
FIFO/db/cmpr_9cc.tdf
FIFO/db/cmpr_t16.tdf
FIFO/db/cntr_dai.tdf
FIFO/db/cntr_gui.tdf
FIFO/db/cntr_m4j.tdf
FIFO/db/cntr_pbi.tdf
FIFO/db/cntr_qbi.tdf
FIFO/db/cntr_rbi.tdf
FIFO/db/cntr_sbi.tdf
FIFO/db/cntr_tbi.tdf
FIFO/db/cntr_u4j.tdf
FIFO/db/cntr_ubi.tdf
FIFO/db/dcfifo_adf1.tdf
FIFO/db/dcfifo_ovh1.tdf
FIFO/db/dcfifo_srh1.tdf
FIFO/db/decode_rqf.tdf
FIFO/db/dffpipe_b09.tdf
FIFO/db/dffpipe_c09.tdf
FIFO/db/dffpipe_c2e.tdf
FIFO/db/dffpipe_hd9.tdf
FIFO/db/dffpipe_id9.tdf
FIFO/db/dffpipe_ngh.tdf
FIFO/db/dffpipe_qe9.tdf
FIFO/db/dffpipe_re9.tdf
FIFO/db/FIFO.(0).cnf.cdb
FIFO/db/FIFO.(0).cnf.hdb
FIFO/db/FIFO.(1).cnf.cdb
FIFO/db/FIFO.(1).cnf.hdb
FIFO/db/FIFO.(10).cnf.cdb
FIFO/db/FIFO.(10).cnf.hdb
FIFO/db/FIFO.(100).cnf.cdb
FIFO/db/FIFO.(100).cnf.hdb
FIFO/db/FIFO.(101).cnf.cdb
FIFO/db/FIFO.(101).cnf.hdb
FIFO/db/FIFO.(102).cnf.cdb
FIFO/db/FIFO.(102).cnf.hdb
FIFO/db/FIFO.(103).cnf.cdb
FIFO/db/FIFO.(103).cnf.hdb
FIFO/db/FIFO.(104).cnf.cdb
FIFO/db/FIFO.(104).cnf.hdb
FIFO/db/FIFO.(105).cnf.cdb
FIFO/db/FIFO.(105).cnf.hdb
FIFO/db/FIFO.(106).cnf.cdb
FIFO/db/FIFO.(106).cnf.hdb
FIFO/db/FIFO.(107).cnf.cdb
FIFO/db/FIFO.(107).cnf.hdb
FIFO/db/FIFO.(108).cnf.cdb
FIFO/db/FIFO.(108).cnf.hdb
FIFO/db/FIFO.(109).cnf.cdb
FIFO/db/FIFO.(109).cnf.hdb
FIFO/db/FIFO.(11).cnf.cdb
FIFO/db/FIFO.(11).cnf.hdb
FIFO/db/FIFO.(110).cnf.cdb
FIFO/db/FIFO.(110).cnf.hdb
FIFO/db/FIFO.(111).cnf.cdb
FIFO/db/FIFO.(111).cnf.hdb
FIFO/db/FIFO.(112).cnf.cdb
FIFO/db/FIFO.(112).cnf.hdb
FIFO/db/FIFO.(113).cnf.cdb
FIFO/db/FIFO.(113).cnf.hdb
FIFO/db/FIFO.(114).cnf.cdb
FIFO/db/FIFO.(114).cnf.hdb
FIFO/db/FIFO.(115).cnf.cdb
FIFO/db/FIFO.(115).cnf.hdb
FIFO/db/FIFO.(116).cnf.cdb
FIFO/db/FIFO.(116).cnf.hdb
FIFO/db/FIFO.(117).cnf.cdb
FIFO/db/FIFO.(117).cnf.hdb
FIFO/db/FIFO.(118).cnf.cdb
FIFO/db/FIFO.(118).cnf.hdb
FIFO/db/FIFO.(119).cnf.cdb
FIFO/db/FIFO.(119).cnf.hdb
FIFO/db/FIFO.(12).cnf.cdb
FIFO/db/FIFO.(12).cnf.hdb
FIFO/db/FIFO.(120).cnf.cdb
FIFO/db/FIFO.(120).cnf.hdb
FIFO/db/FIFO.(121).cnf.cdb
FIFO/db/FIFO.(121).cnf.hdb
FIFO/db/FIFO.(122).cnf.cdb
FIFO/db/FIFO.(122).cnf.hdb
FIFO/db/FIFO.(123).cnf.cdb
FIFO/db/FIFO.(123).cnf.hdb
FIFO/db/FIFO.(124).cnf.cdb
FIFO/db/FIFO.(124).cnf.hdb
FIFO/db/FIFO.(125).cnf.cdb
FIFO/db/FIFO.(125).cnf.hdb
FIFO/db/FIFO.(126).cnf.cdb
FIFO/db/FIFO.(126).cnf.hdb
FIFO/db/FIFO.(127).cnf.cdb
FIFO/db/FIFO.(127).cnf.hdb
FIFO/db/FIFO.(128).cnf.cdb
FIFO/db/FIFO.(128).cnf.hdb
FIFO/db/FIFO.(129).cnf.cdb
FIFO/db/FIFO.(129).cnf.hdb
FIFO/db/FIFO.(13).cnf.cdb
FIFO/db/FIFO.(13).cnf.hdb
FIFO/db/FIFO.(130).cnf.cdb
FIFO/db/FIFO.(130).cnf.hdb
FIFO/db/FIFO.(131).cnf.cdb
FIFO/db/FIFO.(131).cnf.hdb
FIFO/db/FIFO.(132).cnf.cdb
FIFO/db/FIFO.(132).cnf.hdb
FIFO/db/FIFO.(133).cnf.cdb
FIFO/db/FIFO.(133).cnf.hdb
FIFO/db/FIFO.(134).cnf.cdb
FIFO/db/FIFO.(134).cnf.hdb
FIFO/db/FIFO.(135).cnf.cdb
FIFO/db/FIFO.(135).cnf.hdb
FIFO/db/FIFO.(136).cnf.cdb
FIFO/db/FIFO.(136).cnf.hdb
FIFO/db/FIFO.(137).cnf.cdb
FIFO/db/FIFO.(137).cnf.hdb
FIFO/db/FIFO.(138).cnf.cdb
FIFO/db/FIFO.(138).cnf.hdb
FIFO/db/FIFO.(139).cnf.cdb
FIFO/db/FIFO.(139).cnf.hdb
FIFO/db/FIFO.(14).cnf.cdb
FIFO/db/FIFO.(14).cnf.hdb
FIFO/db/FIFO.(140).cnf.cdb
FIFO/db/FIFO.(140).cnf.hdb
FIFO/db/FIFO.(141).cnf.cdb
FIFO/db/FIFO.(141).cnf.hdb
FIFO/db/FIFO.(142).cnf.cdb
FIFO/db/FIFO.(142).cnf.hdb
FIFO/db/FIFO.(143).cnf.cdb
FIFO/db/FIFO.(143).cnf.hdb
FIFO/db/FIFO.(144).cnf.cdb
FIFO/db/FIFO.(144).cnf.hdb
FIFO/db/FIFO.(145).cnf.cdb
FIFO/db/FIFO.(145).cnf.hdb
FIFO/db/FIFO.(146).cnf.cdb
FIFO/db/FIFO.(146).cnf.hdb
FIFO/db/FIFO.(147).cnf.cdb
FIFO/db/FIFO.(147).cnf.hdb
FIFO/db/FIFO.(148).cnf.cdb
FIFO/db/FIFO.(148).cnf.hdb
FIFO/db/FIFO.(149).cnf.cdb
FIFO/db/FIFO.(149).cnf.hdb
FIFO/db/FIFO.(15).cnf.cdb
FIFO/db/FIFO.(15).cnf.hdb
FIFO/db/FIFO.(150).cnf.cdb
FIFO/db/FIFO.(150).cnf.hdb
FIFO/db/FIFO.(151).cnf.cdb
FIFO/db/FIFO.(151).cnf.hdb
FIFO/db/FIFO.(152).cnf.cdb
FIFO/db/FIFO.(152).cnf.hdb
FIFO/db/FIFO.(153).cnf.cdb
FIFO/db/FIFO.(153).cnf.hdb
FIFO/db/FIFO.(154).cnf.cdb
FIFO/db/FIFO.(154).cnf.hdb
FIFO/db/FIFO.(155).cnf.cdb
FIFO/db/FIFO.(155).cnf.hdb
FIFO/db/FIF

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com