资源列表
Dynamic-vp-tree
- vp-tree动态索引对于给定成对的距离搜索 搜索相邻…… 该文章为国外文献 以供各位参考-Dynamic vp-tree indexing for n-nearest neighbor search for foreign literature for the reference to the given pairs from the article
RFC3621sip-china
- RFC3621-Sip-中文文档,非常详细,Sip协议初学者和进阶的好帮手-RFC3621-Sip-Chinese documents, in great detail, Sip protocol is a good helper for beginners and advanced
Assignment
- Some important questions that help you in your studies
自动售货机VHDL程序与仿真
- library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
传感网络
- 传感网络 开发文档
SPWM波形生成算法的分析与实现
- SPWM波形生成算法的分析与实现,对SPWM的原理和编程有帮助
容器云平台如何进行风险管理
- 容器云项目是规划建设的基础设施云计算PaaS平台的尝试,希望通过容器化PaaS平台的建设,支持正在建设的微服务架构应用,同时也为适应公司互联网业务发展,满足业务快速开发和迭代的需求,逐步建立标准化的开发、测试、运维环境,形成适用于公司的DevOps(开发运维一体化)过程
at91sam7s64
- AT91sam7s64调试笔记 AT91sam7s64调试笔记-AT91sam7s64 Debugging Debugging Notes Notes AT91sam7s64
应用MAPX控件开发地理信息系统
- 应用MAPX控件开发地理信息系统
grid-connected-pv-system
- This document is a research paper that presents a study and design of a complete grid connected photovoltaic (PV) system for providing the electrical loads in an emergency health clinic according to their energy requirements.
MATLAB-array-antenna-pattern
- 阵列天线自适应旁瓣对消相关技术的研究 通过matlab实现-Research on Adaptive Array Antenna sidelobe cancellation related technologies to achieve through matlab
