CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料

资源列表

« 1 2 ... .14 .15 .16 .17 .18 9319.20 .21 .22 .23 .24 ... 16321 »
  1. Dynamic-vp-tree

    0下载:
  2. vp-tree动态索引对于给定成对的距离搜索 搜索相邻…… 该文章为国外文献 以供各位参考-Dynamic vp-tree indexing for n-nearest neighbor search for foreign literature for the reference to the given pairs from the article
  3. 所属分类:Development Research

    • 发布日期:2017-03-30
    • 文件大小:199.51kb
    • 提供者:小龙
  1. RFC3621sip-china

    0下载:
  2. RFC3621-Sip-中文文档,非常详细,Sip协议初学者和进阶的好帮手-RFC3621-Sip-Chinese documents, in great detail, Sip protocol is a good helper for beginners and advanced
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:199.5kb
    • 提供者:任兵
  1. Assignment

    0下载:
  2. Some important questions that help you in your studies
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:199.5kb
    • 提供者:sasharora
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. bcb编程技巧

    0下载:
  2. 所属分类:编程文档

  1. 传感网络

    0下载:
  2. 传感网络 开发文档
  3. 所属分类:文档资料

  1. SPWM波形生成算法的分析与实现

    3下载:
  2. SPWM波形生成算法的分析与实现,对SPWM的原理和编程有帮助
  3. 所属分类:文档资料

  1. 容器云平台如何进行风险管理

    0下载:
  2. 容器云项目是规划建设的基础设施云计算PaaS平台的尝试,希望通过容器化PaaS平台的建设,支持正在建设的微服务架构应用,同时也为适应公司互联网业务发展,满足业务快速开发和迭代的需求,逐步建立标准化的开发、测试、运维环境,形成适用于公司的DevOps(开发运维一体化)过程
  3. 所属分类:经营管理

    • 发布日期:2020-05-13
    • 文件大小:199.5kb
    • 提供者:shicifang
  1. at91sam7s64

    0下载:
  2. AT91sam7s64调试笔记 AT91sam7s64调试笔记-AT91sam7s64 Debugging Debugging Notes Notes AT91sam7s64
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:199.5kb
    • 提供者:zhang
  1. 应用MAPX控件开发地理信息系统

    0下载:
  2. 应用MAPX控件开发地理信息系统
  3. 所属分类:文档资料

  1. grid-connected-pv-system

    0下载:
  2. This document is a research paper that presents a study and design of a complete grid connected photovoltaic (PV) system for providing the electrical loads in an emergency health clinic according to their energy requirements.
  3. 所属分类:Development Research

    • 发布日期:2017-11-04
    • 文件大小:199.46kb
    • 提供者:Amal
  1. MATLAB-array-antenna-pattern

    1下载:
  2. 阵列天线自适应旁瓣对消相关技术的研究 通过matlab实现-Research on Adaptive Array Antenna sidelobe cancellation related technologies to achieve through matlab
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:199.45kb
    • 提供者:CZZ
« 1 2 ... .14 .15 .16 .17 .18 9319.20 .21 .22 .23 .24 ... 16321 »
搜珍网 www.dssz.com

浏览历史记录

关闭