CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 编程文档

文件名称:Verilog-Examples-of-procedures

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2014-09-16
  • 文件大小:
    79.98kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

Verilog书中的例子程序,很全。

包含了各个章节的资料-In the book Verilog examples of procedures, very full.



Including the various sections of the data
(系统自动生成,下载前可以参看下载内容)

下载文件列表

Verilog书中范例程序/第七章/compare16/compare16.v
Verilog书中范例程序/第七章/decod8_fcn/decod_fcn.v
Verilog书中范例程序/第七章/dmux14_fcn/dmux14_fcn.v
Verilog书中范例程序/第七章/even16_fun_fun/even16_fun_fun.v
Verilog书中范例程序/第七章/even_parity_16/even_parity_16.v
Verilog书中范例程序/第七章/odd_parity_16/odd_parity_16.v
Verilog书中范例程序/第七章/shift_fcn/shift_fcn.v
Verilog书中范例程序/第五章/adder4/adder4.v
Verilog书中范例程序/第五章/BIT_OP/bit_op.v
Verilog书中范例程序/第五章/decod3_8/decod3_8.v
Verilog书中范例程序/第五章/demul1_4/demul1_4.v
Verilog书中范例程序/第五章/DIVID_8/divid_8.v
Verilog书中范例程序/第五章/encod4_2/encod4_2.v
Verilog书中范例程序/第五章/EQU_INEQU/equ_inequ.v
Verilog书中范例程序/第五章/EVEN_PARITY/even_parity.v
Verilog书中范例程序/第五章/fadd1_df/fadd1_df.v
Verilog书中范例程序/第五章/HALF_ADD/half_add.v
Verilog书中范例程序/第五章/major/major.v
Verilog书中范例程序/第五章/mul2_1_4bits/mul2_1_4bits.v
Verilog书中范例程序/第五章/sht_l_r/sht_r_l.v
Verilog书中范例程序/第八章/MEALY_BIN1/MEALY_BIN1.v
Verilog书中范例程序/第八章/MEALY_BIN1/MEALY_BIN1_tw.tf
Verilog书中范例程序/第八章/MEALY_GRY1/MEALY_GRY1.v
Verilog书中范例程序/第八章/MEALY_GRY1/MEALY_GRY1_tw.tf
Verilog书中范例程序/第八章/MEALY_GRY2/MEALY_GRY2.v
Verilog书中范例程序/第八章/MEALY_GRY2/MEALY_GRY2_tw.tf
Verilog书中范例程序/第八章/MEALY_GRY3/MEALY_GRY3.v
Verilog书中范例程序/第八章/MEALY_GRY3/MEALY_GRY3_tw.tf
Verilog书中范例程序/第八章/MOORE_BIN1/MOORE_BIN1.v
Verilog书中范例程序/第八章/MOORE_BIN1/MOORE_BIN1_tw.tf
Verilog书中范例程序/第八章/MOORE_BIN2/MOORE_BIN2.v
Verilog书中范例程序/第八章/MOORE_BIN2/MOORE_BIN2_tw.tf
Verilog书中范例程序/第八章/MOORE_ONEH1/MOORE_ONE1_tw.tf
Verilog书中范例程序/第八章/MOORE_ONEH1/MOORE_ONEH1.v
Verilog书中范例程序/第六章/adder8_for/adder8_for.v
Verilog书中范例程序/第六章/adder8_for/adder8_for_tb.tf
Verilog书中范例程序/第六章/BCDadder4/adder4.v
Verilog书中范例程序/第六章/BCDadder4/BCDadder4.v
Verilog书中范例程序/第六章/BCDadder4/BCDadder4_tb.tf
Verilog书中范例程序/第六章/bin2gra/bin2gra.v
Verilog书中范例程序/第六章/bin2gra/bin2gra_tb.tf
Verilog书中范例程序/第六章/cnt99/cnt99_tb.tf
Verilog书中范例程序/第六章/cnt99/cnt_10.V
Verilog书中范例程序/第六章/cnt99/counter.V
Verilog书中范例程序/第六章/comp4_if/comp4_if.v
Verilog书中范例程序/第六章/comp4_if/comp4_if_tb.tf
Verilog书中范例程序/第六章/counter_sim/counter_sim.v
Verilog书中范例程序/第六章/counter_sim/counter_simtb.tf
Verilog书中范例程序/第六章/count_0s/count_0s.v
Verilog书中范例程序/第六章/demul1_4_if/demul1_4_if.v
Verilog书中范例程序/第六章/demul1_4_if/demul1_4_if_tb.tf
Verilog书中范例程序/第六章/encod8_3_casez/encod8_3_casex.v
Verilog书中范例程序/第六章/encod8_3_casez/encod8_3_casex_tb.tf
Verilog书中范例程序/第六章/first_0/first_0.v
Verilog书中范例程序/第六章/first_0/first_0_tb.tf
Verilog书中范例程序/第六章/gra2bin/gra2bin.v
Verilog书中范例程序/第六章/gra2bin/gra2bin_tb.tf
Verilog书中范例程序/第六章/latch4_if/latch4_if.v
Verilog书中范例程序/第六章/mul3_1_casez/mul3_1_casez.v
Verilog书中范例程序/第六章/mul3_1_casez/mul3_1_casz_tb.tf
Verilog书中范例程序/第六章/mul4_1_case/mul4_1_case.v
Verilog书中范例程序/第六章/mul4_1_case/mul4_1_case_tb.tf
Verilog书中范例程序/第六章/mul4_1_if/mul4_1_if.v
Verilog书中范例程序/第六章/mul4_1_if/mul4_1_if_tb.tf
Verilog书中范例程序/第六章/mul4_2_1/mul4_2_1.v
Verilog书中范例程序/第六章/mul4_2_1/mut4_2_1tb.tf
Verilog书中范例程序/第六章/RAM16x8d/RAM16x8d.v
Verilog书中范例程序/第六章/RAM16x8d/RAM16x8d_tb.tf
Verilog书中范例程序/第六章/RAM16x8sng/RAM16x8sng.v
Verilog书中范例程序/第六章/RAM16x8sng/RAM16x8sng_tb.tf
Verilog书中范例程序/第六章/reg4_bpa/reg4_bpa.v
Verilog书中范例程序/第六章/reg4_bpa/reg4_bpa_tb.tf
Verilog书中范例程序/第六章/reg4_nbp/reg4_nbp.v
Verilog书中范例程序/第六章/reg4_nbp/reg4_nbp_tb.tf
Verilog书中范例程序/第六章/repeat_1s/repeat_1s.v
Verilog书中范例程序/第六章/repeat_1s/repeat_tb.tf
Verilog书中范例程序/第六章/sevenseg_case/sevenseg_case.v
Verilog书中范例程序/第六章/sevenseg_case/sevenseg_case_tb.tf
Verilog书中范例程序/第六章/shl4_for/shl4_for.v
Verilog书中范例程序/第六章/shl4_for/shl4_for_tb.tf
Verilog书中范例程序/第十一章/booth/booth.v
Verilog书中范例程序/第十一章/booth/booth_tb.tf
Verilog书中范例程序/第十一章/counter/cnt_10.v
Verilog书中范例程序/第十一章/counter/counter.v
Verilog书中范例程序/第十一章/counter/div_16M.v
Verilog书中范例程序/第十一章/counter/div_64K.v
Verilog书中范例程序/第十一章/counter/hex2led.v
Verilog书中范例程序/第十一章/counter/led_dsp.v
Verilog书中范例程序/第十一章/CRC32/crc32.v
Verilog书中范例程序/第十一章/CRC5/crc5.v
Verilog书中范例程序/第十一章/CRC5/CRC5_twb.tf
Verilog书中范例程序/第十一章/div16S/div16s.v
Verilog书中范例程序/第十一章/div16S/div16s_tw.tf
Verilog书中范例程序/第十一章/divnrd16/divnrd16.v
Verilog书中范例程序/第十一章/divnrd16/divnrd16_tw.tf
Verilog书中范例程序/第十一章/divrd16/divrd16.v
Verilog书中范例程序/第十一章/divrd16/divrd16_tw.tf
Verilog书中范例程序/第十一章/F_ADD/F_ADD.v
Verilog书中范例程序/第十一章/F_MUL/F_MUL.v
Verilog书中范例程序/第十一章/keyboardtest/cnt5.v
Verilog书中范例程序/第十一章/keyboardtest/CODE.v
Verilog书中范例程序/第十一章/keyboardtest/debounce.v
Verilog书中范例程序/第十一章/keyboardtest/JKFF.v
Verilog书中范例程序/第十一章/keyboardtest/keyboardtest.v
Verilog书中范例程序/第十一章/keyboardtest/keypress_det.v
Verilog书中范例程序/第十一章/keyboardtest/sevenseg.v
Verilog书中范例程序/第十一章/mult16S/mult16S.v
Verilog书中范例程序/第十一章/mult16S/mult16S_tb.tf
Verilog书中范例程序/第十一章/mult16_fp/mult16_fp/mult16_fp.v
Verilog书中范例程序/第十一章/mult16_fp/mult16_fp/mult16_fp_tw.tf
Verilog书中范例程序/第十一章/snake/CNT20_UD.v
Verilog书中范例程序/第十一章/snake/CNT_2.v
Verilog书中范例程序/第十一章/snake/DIV.v
Verilog书中范例程序/第十一章/snake/MUX_4_1_D7.v
Verilog书中范例程序/第十一章/snake/ROM1.v
Verilog书中范例程序/第十一章/snake/ROM2.v
Verilog书中范例程序/第十一章/snake/ROM3.v
Verilog书中范例程序/第十一章/snake/ROM4.v
Verilog书中范例程序/第十一章/snake/snake.v
Verilog书中范例程序/第十章/cfcpath_delay1/cfcpath_delay1.v
Verilog书中范例程序/第十章/dataflow_delay1/dataflow_delay1.v
Verilog书中范例程序/第十章/dataflow_delay2/dataflow_delay2.v
Verilog书中范例程序/第十章/dff_edge_delay12/dff_edge_delay12.v
Verilog书中范例程序/第十章/dff_ifedge_delay1/dff_ifedge_delay1.v
Verilog书中范例程序/第十章/dff_min_typ_max_delay

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com