CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:spi

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2018-01-04
  • 文件大小:
    1kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

通过SPI接口给一段位宽16位长度为8的配置寄存器进行赋值。位宽为16 表示存储的数据信息位数为16,长度为8,则代表的是寄存器的深度为8。

在输入第一位数据时,定义一个计数器count,以判断目前接收了几个数据。当接收到第8位时,后六位为地址,前两位用于判断,10表示读操作,11表示写操作,进入读写操作后仍需计数,以便判断何时读完或写完,当count=24时为读写操作完毕。(Through the SPI interface to a 16 bit length 8 configuration register assignment. Width 16 digits represent the information stored for 16, length 8, is the representative of the register depth is 8.




When the first bit of data is entered, a counter, count, is defined to determine the number of data received at the present time. When eighth bits are received, the 6 bits are the addresses, the first two bits are used for judgement, 10 is the read operation, 11 is the write operation, and it still needs to count after entering the read and write operation, so as to decide when to read or write, and when count=24 is read and write.)
相关搜索: spi的Verilog语言描述

(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间
spi\spi.v 1861 2018-01-03
spi\tb_spi.v 1486 2017-12-04
spi 0 2018-01-03

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com