CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:61EDA_D1070

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    1011.44kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

示波器基于VHDL所作出的设计 拥有示波器的基本功能 并能显示波形-Oscilloscope-based VHDL design have made the basic functions of Oscilloscope and Waveform display
相关搜索: 示波器 波形 示波器

(系统自动生成,下载前可以参看下载内容)

下载文件列表

Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/c1.bsf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/c1.cmp
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/c1.v
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/c1_bb.v
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/add_sub_8tg.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/add_sub_che.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/add_sub_ksh.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/add_sub_osh.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_1nl2.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_1r51.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_3261.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_3ln1.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_b431.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_fsl1.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_mr71.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_rr71.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_sml2.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_sq51.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_t3p1.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_t5p1.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_usp1.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/altsyncram_vpl1.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/decode_ogi.tdf
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(0).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(0).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(1).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(1).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(10).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(10).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(11).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(11).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(12).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(12).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(13).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(13).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(14).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(14).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(15).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(15).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(16).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(16).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(17).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(17).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(18).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(18).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(19).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(19).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(2).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(2).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(20).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(20).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(21).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(21).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(22).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(22).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(23).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(23).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(24).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(24).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(25).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(25).cnf.hdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_using_FPGA/fpge示波器/db/mcu_sram_test.(26).cnf.cdb
Verilog编写基于FPGA的示波器核心实现/oscilloscope_usin

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com