CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段数码管

搜索资源列表

  1. vhdl-7Nixie-tube

    0下载:
  2. vhdl 七段数码管代码 可以把代码转换成可以在七段数码管上显示的代码-Seven-Segment LED vhdl code into the code can be displayed in seven sections of the code on the digital
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:3723
    • 提供者:zhaohong
  1. scanning-circuit

    0下载:
  2. 扫描显示电路 用于七段数码管的扫描显示,是fpga编程的基础,有助于从事可编程逻辑器件的学习-Scan display circuit for the seven-segment LED display scan is based fpga programming, programmable logic devices will help in learning
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:2663
    • 提供者:zhaohong
  1. nixietube

    0下载:
  2. 七段数码管显示,通过调整外部频率可在八个数码管上固定显示和轮换显示 -Seven-Segment LED display, by adjusting the external frequency can be fixed at eight digital display and display rotation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1158
    • 提供者:tanqiliang
  1. chengxu

    0下载:
  2. AT89S52 单片机做CPU处理器处理控制,使用 DS18B20 集成温度传感器采集温度数据,七段数码管做显示,可以显示当前的温度值,并且可以设定一个上限温度值并保存在 DS18B20 中,可以调节所要限定的温度值。还设计了一路继电器控制,超出设定温度时继电器被驱动吸合,外电路中的降温风扇开始工作并发出警报,温度低于设定温度后,继电器自动断开风扇停止工作,警报解除。这样就形成了一个反馈系统。-The Adjustable temperature controller
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:3587
    • 提供者:陈灌灌
  1. temperature

    0下载:
  2. 单片机温度控制 DS18B20 测温  七段数码管显示 -Digital display temperature acquisition
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:4802
    • 提供者:miaojiale
  1. 1

    0下载:
  2. 计数显示电路。由十进制计数器模块(BCD_CNT)、分时总线切换电路模块(SCAN)和七段显示译码器电路模块(DEC_LED)构成。输入端口为为十进制计数器时钟clk,异步复位清零信号reset,分时总线切换电路时钟clkdsp。在reset信号为1时,电路复位不工作;在reset信号为0时,在每个clk的上升沿计数器将加1。在每个clkdsp的上升沿将会改变对三个数码管的扫描选通。输出端口为数码管选通信号sel(两位),输出到七段数码管的数据信号ledout(七位)。-Count displa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3293
    • 提供者:成思远
  1. electronic--organ

    0下载:
  2. 单片机, 电子琴, 4x4按键盘,七段数码管显示-singlechip , electronic organ ,4x4 keyboard ,7 LED digital
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:203000
    • 提供者:
  1. ex2_seg7

    0下载:
  2. 基于FPGA的七段数码管实验,怎样点亮数码管-The seven-segment FPGA-based experiment, how light LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3774972
    • 提供者:樊依林
  1. Threen

    0下载:
  2. 利用8253实现闪烁灯效果,并最终通过键盘输入指定时间实现定时闪烁,同时利用七段数码管倒计时辅助显示闪烁时间,实现定时设定功能。比如:按下键盘9号键,则LED灯开始每秒闪烁一下,9秒后停止闪烁,实现定时闪烁;同时数码管从9开始倒计时显示辅助显示闪烁时间。-The use of 8253 to achieve a blinking light effect, and ultimately to achieve a specified time by the regular keyboard in
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-10
    • 文件大小:1366
    • 提供者:lth
  1. lesson7

    0下载:
  2. pic18F14k50驱动七段数码管程序-Pic18F14k50 drive seven segment digital tube program
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:3161
    • 提供者:fengdeng
  1. 11.7SegDisplayCounter

    0下载:
  2. 51单片机初学例程,功能:七段数码管显示计数器-51 microcontroller beginner routines, function: seven segment LED display counter
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:32606
    • 提供者:shannenhuan
  1. jiaotongdeng

    0下载:
  2. 交通信号灯控制器的设计 本设计应用基本数字电路知识,采用LED灯作红、绿、黄三交通灯,用七段数码管作同步倒计时显示。实现两方向通行时间不相等的控制并配有倒计时。-Traffic signal controller design basic digital circuits of the knowledge of design applications, the use of LED lights for red, green, and yellow traffic lights, for syn
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:477136
    • 提供者:郭天
  1. 0~3000

    0下载:
  2. 单片机控制七段数码管显示0-3000的c语言程序-output numbers from 0-3000
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1594
    • 提供者:kamida
  1. ccc

    0下载:
  2. 该程序是超声波的测距的程序。程序中介绍了如何通过汇编语言产生38KHz的超声波频率,以及如何接受反射回来的超声波,并进行相关计算,计算出距离。最后显示在七段数码管上-The program is ultrasonic ranging program. Describes how to program in assembly language generated 38KHz ultrasonic frequency, and how to accept the reflected ultrasou
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1533
    • 提供者:蔡坤堤
  1. qiangdaqi

    0下载:
  2. 这个程序是4路抢答器的程序,这个程序实现的功能是:当主持人按下抢答键后,参赛选手才可以进行抢答。抢答时能发出声响,并通过七段数码管显示是哪位选手抢答的。这个程序在PIC单片机上测试过,效果良好-This program is 4-way Responder program, this program is to achieve the function: When the host pressed to answer in key, players can only answer in. Res
  3. 所属分类:uCOS

    • 发布日期:2017-04-11
    • 文件大小:1140
    • 提供者:蔡坤堤
  1. chelianglicheng

    0下载:
  2. 这个程序是车辆里程表的程序。该程序通过定时器1进行定时计数,并将定时计数结果显示在七段数码管上。这个程序在PIC单片机上测试过,运行良好-This program is the vehicle odometer program. The program timer by Timer 1 to count and time count results on the seven segment. This program tested on the PIC microcontroller, runn
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:1047
    • 提供者:蔡坤堤
  1. 549

    0下载:
  2. 利用AD549采集电压进而通过单片机运算在4位七段数码管上显示电压值。-Voltage is then collected by using AD549 chip operations in four seven-segment display on the voltage.
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1423
    • 提供者:小熊
  1. SourceCode02_7SEG_OK

    0下载:
  2. 5416七段数码管测试程序,很详细,值得研究-5416 Seven-Segment LED test program, in great detail, worthy of study
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:21469
    • 提供者:nel2010
  1. lxxvol

    1下载:
  2. 本代码适用于89c51单片机,可以实现将模拟电压转换为数字电压后显示在七段数码管上。可以通过按键设置电压的最低和最高门限。超过门限会有报警信号-The code for 89c51 microcontroller, analog voltage can be converted to a digital voltage display on the seven segment. Button to set the voltage by the minimum and maximum thresh
  3. 所属分类:SCM

    • 发布日期:2014-11-02
    • 文件大小:32768
    • 提供者:林圣超
  1. src

    0下载:
  2. _seg7x8_dynamic_disp 七段数码管动态显示-_seg7x8_dynamic_disp Seven-Segment LED dynamic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2447
    • 提供者:chen
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 27 »
搜珍网 www.dssz.com