CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段数码管

搜索资源列表

  1. 二进制小数转换

    0下载:
  2. 二进制小数转为十进制BCD码的汇编程序,结果保留四位并在七段数码管上输出-decimal to binary decimal BCD compilation procedures, and results in four reservations in paragraph 107 digital output tube
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1551
    • 提供者:段琪炜
  1. qiduanmaxianshi

    0下载:
  2. 七段数码管显示的小程序需要多硬件有些了解不过很实用-paragraph 107 of the Digital Display small programs need more hardware but some very practical understanding
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:30388
    • 提供者:余天
  1. LED7

    0下载:
  2. 单片机的七段数码管的数字生成代码的转换小工具,希望对大家有益-SCM digital paragraph 107 of the code generation digital conversion of small tools, we hope to benefit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:323102
    • 提供者:Bruce
  1. dianjicesu

    0下载:
  2. 单片机开发实验,在C8051F020平台下,用以直流电机测速,并在七段数码管上显示出来。-MCU Development experiment, the C8051F020 platform for the DC machine guns, in paragraph 107 of digital tube displayed.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:70551
    • 提供者:dym
  1. dianjicesu1

    0下载:
  2. 单片机开发程序,用以直流电机测速,并把所得值在七段数码管上显示出来。-MCU development process for the DC machine guns, and the values obtained in paragraph 107 of digital tube displayed.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3924
    • 提供者:dym
  1. led7seg

    0下载:
  2. 控制七段数码管交替闪烁及随不同信号输入显示不同结果的DSP源程序。-paragraph 107 of the digital control of flashing turn signal and with the input of different show different results DSP source.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:2753
    • 提供者:尹奕
  1. led_sDISP

    0下载:
  2. AVR单片机实现七段数码管串行输入并行输出显示,很实用的-paragraph 107 serial digital control input parallel output, very practical
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:7905
    • 提供者:
  1. COUNT_4qiduan

    0下载:
  2. VHDL源代码.设计一个模为4的计数器,并在实验箱上用七段数码管显示结果-VHDL source code. Design a scale of four counters, and the experimental box used in paragraph 107 of Digital Display Results
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:791
    • 提供者:sky
  1. weijiyuanlibaogao

    0下载:
  2. 编程使小键盘的数字键1,2,3,4,5,6,7作为电子琴按键,输入对应的七个音阶,通过实验箱的喇叭发出声音,并通过七段数码管显示输入音阶;若小键盘输入“R”则返回DOS,结束程序。-Programming small keyboard's numeric keypad ,36.2 as flower button. 7 corresponding to the input scale, experimental box speakers to make their voices hear
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:34501
    • 提供者: 梁良
  1. ps2mouse_verilog

    1下载:
  2. 本实验利用PS/2接口实现了与鼠标通信,并将鼠标的按键信息通过D6,D7,D8,D9 来直观的放映,其中D6,D7代表鼠标右键的状态,当鼠标右键没有按下时,D6,D7两 个灯都不亮,当鼠标右键有按下时,D6,D7两个灯同时点亮。与此相同,D8,D9则代 表鼠标左键的状态。而鼠标的移动状态,我们是通过七段数码管来表示,低两位的数 码管表示X轴的移动点数,高两位的数码表示Y轴的移动点数。-the experimental use of PS / 2 interface wit
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12156
    • 提供者:dragon
  1. S3C2410_example

    0下载:
  2. ARM9系列,s3c2410实验代码,包括(LED显示实验,七段数码管实验,四位拨段开关实验)都在开发板上调试通过。初学值得一看,-ARM9 series s3c2410 experimental code, including (LED display experiment, paragraph 107 of digital tube experiments, four switches allocation of experiments) are in the development boa
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:166301
    • 提供者:周清辉
  1. shuzilaozhong

    0下载:
  2. 该数字闹钟包括以下几个组成部分: (1) 显示屏,由6个七段数码管组成,用于显示当前时间(时 分 秒)或设置的闹钟时间 (2) KEY键:用于输入新的时间或新的闹钟时间时,对每位输入数字的确认 (3) TIME(时间)键,用于确定新的时间设置 (4) ALARM(闹钟)键,用于确定新的闹钟时间设置,或显示已设置的闹钟时间 (5) 扬声器,在当前时钟时间与闹钟时间时,发出蜂鸣声.-digital alarm clock, including the following components : (
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:5480
    • 提供者:刘思雄
  1. jiandansaomiaoyuxianshi

    0下载:
  2. 键盘扫描程序,将键值送到七段数码管显示,max7219驱动数码管。-keyboard scanning procedures, the pair will be sent to paragraph 107 of the digital control, digital control max7219 driver.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:33522
    • 提供者:MAZEMIN
  1. yinyuebofang

    0下载:
  2. 一、 使用键盘显示接口芯片8279接收按键键值,并在七段数码管上显示出来,通过判断键值进入相应音乐播放处理程序。在音乐播放程序中,使用定时器/计数器8253的计数器2,使其工作在方式¬ ¬ 3—方波发生器,通过查表依次取出乐曲频率值送入计数器作为计数初值,经out2输出方波,驱动扬声器发出不同频率的声音,在每个音阶之间加入相应的延迟时间,即实现了音乐的播放。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:9572
    • 提供者:GFQ
  1. Learn-lumit-Step-11

    0下载:
  2. 嵌入式开发试验:七段数码管实验,在开发板4510上实现。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:33396
    • 提供者:李新龙
  1. s3c44b0-seg7

    0下载:
  2. linux操作系统的七段数码管的驱动程序
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:1284
    • 提供者:xj216
  1. NIOS_seddisplay

    0下载:
  2. NIOS七段数码管显示系统设计,包括完整的硬件合软件设计-NIOS Seven-Segment LED Display System Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7754284
    • 提供者:张一
  1. XtremeLED

    0下载:
  2. 自已做的一个七段数码管控件及演示程序源码,需要XtremeToolkitv2.00支持。-seven segment LED ocx and demo. need XtremeToolkitv2.00
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-02
    • 文件大小:88869
    • 提供者:cszhaoqm
  1. experiment5_1

    0下载:
  2. VHDL实验5,七段数码显示译码器设计。1)用VHDL设计7段数码管显示译码电路,并在VHDL描述的测试平台下对译码器进行功能仿真,给出仿真的波形。-VHDL Lab 5, Seven-Segment Display Decoder. 1) design using VHDL 7 segment LED display decoder circuit, and the VHDL descr iption of the decoder under test platform for functio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:143764
    • 提供者:童长威
  1. sheji1

    1下载:
  2. 七段数码管数字识别,本文将以数码管作为研究对象, 以数字0 到9 作为识别的范围, 针对图片中的数字提出 一套切实可行的识别程序,并且在试验中不断改进,以功能强大的Matlab 作为编程平台, 利用一些行之有效的技术提高识别算法的性能,从而完成相应的识别程序。(This article will take the digital tube as the research object, with the number 0 to 9 as the scope of recognition, f
  3. 所属分类:图形图象

« 1 23 4 5 6 7 8 9 10 ... 27 »
搜珍网 www.dssz.com