CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乐曲

搜索资源列表

  1. 8255P8253

    0下载:
  2. 用键盘显示接口芯片8279接收按键键值,并在七段数码管上显示出来,通过判断键值进入相应音乐播放处理程序。在音乐播放程序中,使用定时器/计数器8253的计数器2,使其工作在方式¬ ¬ 3—方波发生器,通过查表依次取出乐曲频率值送入计数器作为计数初值,经out2输出方波,驱动扬声器发出不同频率的声音,在每个音阶之间加入相应的延迟时间,即实现了音乐的播放-8279 with a keyboard and display interface chip receives key key, an
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1476
    • 提供者:梦记
  1. demo6-beep

    0下载:
  2. 利用FPGA技术,使用Altera芯片,演奏乐曲,使用VHDL语言-use the FPGA technolog to play a music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:479058
    • 提供者:liushu
  1. Microcontroller-keyboard-design

    0下载:
  2. 该文件使用矩阵键盘设计一个简易电子琴,并且能演奏“新年好”乐曲。-The file uses a simple keyboard matrix keyboard design, and can play " Happy New Year" song.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:2336
    • 提供者:longdonghuo
  1. music

    0下载:
  2. 乐曲演奏电路VHDL描述,下载到芯片后可以听到乐音。-music playing circuit based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:176414
    • 提供者:cjz
  1. FPGAmusic

    0下载:
  2. FPGA 的乐曲演奏电路veilog实现-FPGA implementation of the music playing circuit veilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:946061
    • 提供者:乐发广
  1. music

    0下载:
  2. 乐曲播放器的设计;一段乐谱可以在试验箱上自动演奏,本实验是(梁祝)中化蝶的部分;第二首为(北京欢迎您)-Music player design a chamber music can be played automatically on the experiment (Butterfly) in the butterfly portion second song is (Beijing Welcomes You)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:410231
    • 提供者:guo
  1. liangzhu

    0下载:
  2. verilog 写的 “梁祝”乐曲演奏电路-erilog wrote " The Butterfly Lovers" music concert circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:980
    • 提供者:陈智恒
  1. ZHIAILI

    0下载:
  2. 单片机演奏乐曲《致爱丽丝》,此文件内包括汇编文件,还有HEX文件,可直接使用, 有兴趣的朋友可以根据自己的需要改进-SCM playing music, " Zhiailisi" , this compilation of documents, including documents, as well as HEX file can be used directly, interested friends can according to their need to impr
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:13494
    • 提供者:赵斌
  1. VHDL-music-autoplay

    0下载:
  2. 基于VHDL实现的梁祝自动乐曲播放器,欢迎大家下载-VHDL-based implementation of the Butterfly Lovers automatic music players, are welcome to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:144416
    • 提供者:wangwenhao
  1. song-play

    1下载:
  2. 本文作者创新点是基于FPGA完成乐曲演奏电路,在Altera Quartus II 环境下,用VHDL 语言实现电子琴演奏音乐的设计实例,设计者根据VHDL的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译、优化,用仿真,可在短时间内设计出高效、稳定、符合设计要求的电路。-This innovation is the author of music to play based on FPGA to complete the circuit, the Altera Qu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:151918
    • 提供者:wangwenhao
  1. 24c02

    0下载:
  2. 们将一首乐谱全部写入到24c02中,这种工作方式,可以比作一个简单的mp3,将乐曲写在可以重复写入的存储器中,播放时,读出来再播放。这样,只要换一个存有新的音乐的存储器,或者从外部将新的乐曲写入到存储器中,就可以播放新的乐曲,而单片机的程序并没有改变。-All music will be a write to the 24c02 in. This work can be compared to a simple mp3, a song written in the rewritable memo
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:11935
    • 提供者:
  1. MidPlayer

    0下载:
  2. 该播放器能够播放MID乐曲,清单栏里将显示曲目名称,双击曲目名称将播放改乐曲,,用,MCI实现MID文件的播放-The music player can play MID, the list column will display the track name, double-click the track name will change the music playing, with, MCI achieve MID file playback
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-03-21
    • 文件大小:33482
    • 提供者:li hongliang
  1. C-programming-language--

    0下载:
  2. 讲解如何用c语言实现菜单设计,图形绘制,乐曲演奏,汉字显示,图片显现等功能。-Explain how to use c language implementation menu design, graphics, music playing, Chinese displaying, pictures show etc.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-24
    • 文件大小:666753
    • 提供者:贾仕强
  1. yinyuehechengxu

    1下载:
  2. 当键盘有键按下时,判断键值,启动计数器T0,产生一定频率的脉冲,驱动蜂鸣器,放出乐曲。同时启动定时器T1,显示乐曲播放的时间,并驱动LCD,显示歌曲号及播放时间。 (1) 硬件电路中用P1.0~P1.7控制按键,其中P1.0~P1.3扫描行,P1.4~P1.7扫描列; (2) 用P2.0~P2.2作为LCD的RS、R/W、E的控制信号; (3)用P0.0~P0.7作为LCD的D0~D7的控制信号; (4) 用P3.7口控制蜂鸣器; (5) 电路为12MHz晶振频率工作,
  3. 所属分类:SCM

    • 发布日期:2014-04-21
    • 文件大小:2048
    • 提供者:linqinghui
  1. MASM-Music-design-

    0下载:
  2. 在MASM集成环境下,根据已知的音符频率对照表,设置乐曲的频率表和节拍时间表。完成汇编语言乐曲程序的设计与实现。-In MASM integrated environment, according to the known frequency of the notes table, set the frequency table and beat music schedule. Complete assembly language music Program design and impl
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:165260
    • 提供者:苏风雪
  1. danpianjidianziqin

    0下载:
  2. 基于单片机的电子琴设计 具有16个音阶,可播放简单乐曲-Microcontroller-based keyboard design With 16 scales, can play a simple tune
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:287861
    • 提供者:lu meimei
  1. VHDL-NoteTabs-

    0下载:
  2. 利用实验数控分频器的设计硬件乐曲演奏电路,主系统由三个模块组成,顶层设计文件,其内部有三个功能模块,TONETABA.VHD,NOTETABS.VHD,和SPEAKERA.VHD, 在原设计的基础上,增加一个NOTETABS模块用于产生节拍控制(INDEX数据存留时间)和音阶选择信号,即在NOTETABS模块放置一个乐曲曲谱真值表,由一个计数器的计数值来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。-Experimental NC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5038
    • 提供者:JACK
  1. pcjiyanzouxinyue

    0下载:
  2. 用菜单选择乐曲,让扬声器按节拍演奏连续的音乐-Menu to select the music, the speakers play continuous music by tempo
  3. 所属分类:Menu control

    • 发布日期:2017-04-08
    • 文件大小:5658
    • 提供者:王西西
  1. clock

    0下载:
  2. 数字钟,时钟芯片为DS1302,显示屏为LCD1602,单片机为51,具有闹钟,整点报时,乐曲播放,倒计时,秒表等功能-Digital clock, clock chip DS1302, the display is the LCD1602, microcontroller 51
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:111877
    • 提供者:陈胜
  1. FPGAMusic

    0下载:
  2. 基于FPGA的乐曲发生器电路设计,并附有VHDL源码!-FPGA-based music generator circuit design with VHDL source code!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:30133
    • 提供者:雪圣
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 17 »
搜珍网 www.dssz.com