CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器

搜索资源列表

  1. 4dba98f6ebf6b

    0下载:
  2. 音乐倒数计数器,用四个按键,设置倒数时间,可以五分钟,十分钟,二十分钟,也可以一分钟调节,时间到音乐响起。-Music down counter, with four buttons, set the countdown time, you can for five minutes, ten minutes, twenty minutes, you can also adjust a minute, time is music.
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:684230
    • 提供者:冯畅
  1. 电子科技大学数电电子实验-同步计数器

    0下载:
  2. 电子实验,关于同步计数器的实验,这是课件,有详细的讲解
  3. 所属分类:其它资源

  1. 8254计数器控制

    0下载:
  2. 汇编实现计数器按键5次产生低脉冲,从而在屏幕上画出M
  3. 所属分类:汇编语言

  1. C4_24

    0下载:
  2. 24计数器,并用两个7段数码管分别显示个位和十位(24 counter, and digital display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:1651712
    • 提供者:尘之皓
  1. m60v20161109

    0下载:
  2. 用verilog语言实现的模为60的计数器,经编译合格,利用quarter2及以上可以直接使用(Using Verilog language to achieve the modulus of 60 counters, compiled by qualified, using quarter2 and above can be used directly)
  3. 所属分类:VHDL/FPGA/Verilog

  1. m60component20161109

    0下载:
  2. 用verilog语言实现的模为60的计数器,经编译合格,利用quarter2及以上可以直接使用,并使用了分块模式(Using Verilog language to achieve the modulus of 60 counters, compiled by qualified, using quarter2 and above can be used directly, and the use of sub block mode)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 计数器

    0下载:
  2. 简单的层次化电路实现计数器,带有清零功能(counter with clear function)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-16
    • 文件大小:5120
    • 提供者:tyne
  1. 16进制加减计数器

    0下载:
  2. 16进制加、减计数器,用两个数码管显示(0-15)(hex add/sub counter(show 0-15))
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-17
    • 文件大小:349184
    • 提供者:tyne
  1. Ninety-nine counter

    0下载:
  2. 利用proteus 在AT89S51单片机平台 制作一个手动计数器 内含说明文档、c语言源码 全套工程文件 初学者福音(The use of Proteus in the AT89S51 microcontroller platform to create a manual counter, containing documentation, C language source code, a full set of engineering documents, beginners Gospel
  3. 所属分类:单片机开发

    • 发布日期:2017-12-20
    • 文件大小:105472
    • 提供者:zhaoren
  1. Reversible reversible 4 bit counter

    0下载:
  2. 可预制数的4位可逆计数器设计,protues平台 51单片机 全套工程文件:C源码、电路图及文明文档等(4 digit reversible counter design can be prefabricated, Protues platform, 51 single-chip full set of engineering documents: C source code, circuit diagrams and civilized documents)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-19
    • 文件大小:78848
    • 提供者:zhaoren
  1. he

    0下载:
  2. 利用VHDL实现判向计数器,并且在数码管上实现显示。可以在XILINX开发板上实现对应功能,仿真也能实现。(The use of VHDL realize the counter counter, and in the digital tube to achieve display. The corresponding function can be implemented on the XILINX development board, and the simulation can also
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-11
    • 文件大小:748313
    • 提供者:勿要归晚
  1. async_counter_verilog

    0下载:
  2. 这是用verilog 实现的同步计数器。(this is a code for synchronous counter written in verilog.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:6144
    • 提供者:adonis85101
  1. dianzijishuqi

    0下载:
  2. 设计一个基于单片机的电子计数器,并且能够实现暂停,继续,复位功能。 (2) Protel专用软件绘制单片机原理图; (3) 设计出软件编程方法,并写出源程序; (4)用C语言实现程序设计。; (5)用Keil软件编写HEX等相关文件; (6)程序的各功能模块要清楚,有序。(Singlechip electronic device)
  3. 所属分类:嵌入式/单片机编程

  1. Count Up Down

    0下载:
  2. 在labview2014平台上写的加减计数器,需要下载一个real_time的功能块(Add and subtract counters written on the labview2014 platform need to download a real_time feature block)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-13
    • 文件大小:99554
    • 提供者:勿要归晚
  1. 计数器

    0下载:
  2. 用labview编写的计数器程序 用来检测电机转速(using labview to programming counter)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-26
    • 文件大小:15360
    • 提供者:eeewenjiahui
  1. 51计数器--中断串口-51

    0下载:
  2. 基于C语言stc51单片机中断计数,at24c02 eeprom掉电保护,串口输出数据(Interrupt count, with power-off protection, serial output data)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-27
    • 文件大小:868352
    • 提供者:野蛮科
  1. Johnson_counter

    0下载:
  2. 利用 fpga 实现约翰逊计数器的功能(fpga Realize the function of Johnson counter)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-28
    • 文件大小:294912
    • 提供者:遏制度人
  1. 计数器

    0下载:
  2. 模仿体育秒表,是初学易语言的朋友用得着的例程。(Imitating sports stopwatch, is a beginner of easy language friends routines.)
  3. 所属分类:易语言编程

    • 发布日期:2017-12-28
    • 文件大小:225280
    • 提供者:过马路
  1. 定时_计数器

    0下载:
  2. 利用定时器和计数器,实现LED不同状态的变化(The use of timers and counters to achieve LED changes in different states)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-01
    • 文件大小:41984
    • 提供者:L小玉
  1. guan 27

    0下载:
  2. 分频器分频为2Hz后,使计数时间变为0.5秒一个,将此时的频率传给计数器,计数器计数的变化时间就变为0.5秒一变然后再用数码管显示出数字的变化,即可得到一个从0~9变化的计时器。 文件名为随便起的项目名称,使用时如果更改需要和代码中的实体名等一起更改(Frequency divider for 2Hz, the counting time is 0.5 seconds a, the frequency to change the time counter counter becomes 0.
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:193536
    • 提供者:关关关
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com