CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - RS verilog

搜索资源列表

  1. colorchange

    0下载:
  2. 用verilog hdl实现色彩空间转换,rgb到ycbcr-with Verilog HDL achieve color space conversion, rgb to RS
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:12693
    • 提供者:翟博
  1. YCbCr_to_rgb

    1下载:
  2. 颜色空间转换代码,ycbcr对rgb的转换verilog代码.YCBCR的格式是ITU601格式.-color space conversion code, RS right rgb conversion Verilog code. YCBCR format is ITU601 format.
  3. 所属分类:3D图形编程

    • 发布日期:2008-10-13
    • 文件大小:1254
    • 提供者:Jack
  1. encode

    0下载:
  2. Quartus下的RS(5,3)编码器的源程序,用Verilog语言编写。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3021
    • 提供者:桃子
  1. RSverilog

    0下载:
  2. RS编码的verilog源代码,拿来和大家分享
  3. 所属分类:ASP源码

    • 发布日期:2008-10-13
    • 文件大小:2359951
    • 提供者:刘琼
  1. RS232

    1下载:
  2. FPGA实现RS-232串口收发的Verilog程序,已经调通。
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:2180
    • 提供者:鲁东旭
  1. RSencode

    0下载:
  2. 包含RS(10,8)的verilog源程序,加法器的verilog源程序,卷积码的verilog源程序
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1669
    • 提供者:bai
  1. mimasuo

    0下载:
  2. vhdl 实验报告 verilog rs触发器 vhdl实验 vhdl 实验 报告 verilog rs触发器 vhdl实验
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:97991
    • 提供者:wan
  1. RS485EN

    0下载:
  2. RS485的双向通信处,正在为此头疼的同学们可要注意了,这个可以解决你们双向通信过程中的很多问题哦-Two-way RS485 communications, the headache is to this end they' ll pay attention to the students, this two-way communication you can solve many problems in the course of oh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:151216
    • 提供者:江山
  1. RS_5_3_CODEC

    0下载:
  2. 完成RS(5,3)编码程序,运用Verilog语言。-Complete the RS (5,3) coding process, the use of Verilog language.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-01
    • 文件大小:646396
    • 提供者:wangcimeng
  1. RS-232

    0下载:
  2. 串口通信模块Verilog代码及相关文档-Serial communication module Verilog code and related documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:559004
    • 提供者:李涛
  1. RS-232

    0下载:
  2. verilog实现RS-232串口通信,经过功能仿真,完全能够行得通。-realise RS-232 by using verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:364760
    • 提供者:曹蒙蒙
  1. mulitcpu

    0下载:
  2. 用verilog HDL语言或者VHDL语言来编写,实现多时钟周期CPU的设计。能够完成以下二十二条指定(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd, rs, rt addu rd, rs, rt addi rt, rs, imm addiu rt, rs, imm sub rd, rs, rt subu rd, rs, rt nor rd, rs, rt xori rt, rs, imm clo clz slt rd, rs,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8876750
    • 提供者:徐帆
  1. rs

    0下载:
  2. RS(255,239)verilog代码,已通过quartusII仿真,满足设计要求,需要的可以拿去参考-RS (255,239) Verilog code, through quartusII Simulation meet the design requirements, the need to take reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:727180
    • 提供者:王诚
  1. RS-232CUART

    0下载:
  2. 主要是利用FPGA进行串口的通信 其中利用到FPGA的开发软件QUARTUS -verilog NIOS UART
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:804317
    • 提供者:李斌
  1. verilog-uart

    1下载:
  2. UART(Universal Asynchronous Receiver Transmitter,通用异步收发器)是广泛使用的异步串行数据通信协议。下面首先介绍UART硬件接口及电平转换电路,分析UART的传输时序并利用Verilog HDL语言进行建模与仿真,最后通过开发板与PC相连进行RS-232通信来测试UART收发器的正确性。-UART (Universal Asynchronous Receiver Transmitter, Universal Asynchronous Receive
  3. 所属分类:Software Testing

    • 发布日期:2016-11-15
    • 文件大小:117760
    • 提供者:李科
  1. RS

    0下载:
  2. 通过verilog hdl语言实现RS编码器与译码器的设计-Verilog hdl language through the RS encoder and decoder design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:26233
    • 提供者:李永超
  1. RS-422standardmodulev2

    6下载:
  2. rs422标准通讯模块 异步收发 verilog语言编写-rs422 standard communication module asynchronous receiver verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-24
    • 文件大小:8184
    • 提供者:蒋大鹏
  1. 1---Serial-interface-(RS-232)

    0下载:
  2. Verilog HDL编写的RS232通信接口,包含RS232接口通信原理解析和编程实现文档-Verilog HDL prepared by the RS232 communication interface, including RS232 interface communication principles of parsing and programming documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:24736
    • 提供者:Tokeyman
  1. RS(204-188)decoder_verilog

    0下载:
  2. 采用verilog实现的有限域GF(28)弱对偶基乘法器,本原多项式: p(x) = x^8 + x^4 + x^3 + x^2 + 1 ,多项式基: {1, a^1, a^2, a^3, a^4, a^5, a^6, a^7},弱对偶基: {1+a^2, a^1, 1, a^7, a^6, a^5, a^4, a^3+a^7}-Verilog achieved using the finite field GF (28) weak dual basis multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:14279
    • 提供者:刘建涛
  1. rs_200_168

    0下载:
  2. Verilog实现的DVB(200,168)的RS编解码程序,xilinx 平台,经过验证(Verilog implementation of DVB (200168) RS codec program, Xilinx platform, verified)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-25
    • 文件大小:2214912
    • 提供者:maplecetc22
« 1 2 34 5 »
搜珍网 www.dssz.com