CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 按键

搜索资源列表

  1. The.design.of.the.voting.machine

    0下载:
  2. 表决器的设计 设计一个三人的表决器,其中有二人以上同意则投票通过。演示结合实验箱上A区、J区的LED及按键。工作过程如下:带锁的按键按下时,按键上的灯亮表示投票同意;按键松开时,灯熄灭表示投票反对;SW1-SW3这三个按键是3人的投票键,L1灯亮表示投票通过,且蜂鸣器响;L1灯熄灭表示投票未通过,且蜂鸣器不响。利用原理图和VHDL编程相结合的方法来实现-The design of the voting machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:35734
    • 提供者:duopk
  1. caideng

    0下载:
  2. 用VHDL语言设计实现一个彩灯控制(8个发光二极管)电路,仿真并下载验证其功能。彩灯有两种工作模式,可通过拨码开关或按键进行切换。 ? 单点移动模式:一个点在8个发光二极管上来回的亮。 ? 幕布式:从中间两个点,同时向两边依次点亮直至全亮, 然后再向中间点灭,依次往复。 -VHDL Language Design and Implementation with a lantern control (8 LEDs) circuit, simulation and download v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:792
    • 提供者:lws
  1. hello

    0下载:
  2. VHDL语言,设计一个在DE2平台的8个七段数码管上循环显示HELL0的程序,采用按键控制循环的速度,慢速循环时间间隔为1S,快速循环时间间隔为200ms。-VHDL language, design a platform in the DE2 8 segment digital tube display HELL0 program cycle, the speed control loop using keys, slow cycle time interval for the 1S, fas
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:966
    • 提供者:chunyu
  1. Qdaqi

    0下载:
  2. 基于VHDL语言 实现八路抢答器 有源时钟48mhz 功能为任意按键按下屏蔽其它按键输入-VHDL language based on the active clock 48mhz eight Responder function to any button is pressed the other key input screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:287238
    • 提供者:张帝
  1. robit1

    0下载:
  2. 主要是基于VHDl的消除按键抖动的程序啊!-Mainly based on the elimination of key jitter VHDl program ah!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1022355
    • 提供者:王展
  1. key

    0下载:
  2. cpld的按键数码管显示程序 用VHDL编程-cpld key digital display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1074
    • 提供者:杨文婧
  1. key_led

    0下载:
  2. ALTERA公司的FPGA开发板的按键控制ledVHDL程序-ALTERA key led VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:198591
    • 提供者:周慧
  1. Multiplier

    0下载:
  2. VHDL语言设计的乘法器,经过试验箱测试通过,用试验箱的8个拨码开关输入数字,按键按下输出结果。-VHDL language design of multiplier, after chamber test, with the chamber of the 8 DIP switch input numbers, key press output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2141
    • 提供者:李志强
  1. Adder

    0下载:
  2. VHDL语言设计的加法器,在试验箱上使用8个拨码开关设置要加的2个数,按键按下输出相加的结果,在试验箱上测试通过。-Adder VHDL language design, in the chamber using the DIP switch setting 8 to 2 to add the number of keys pressed result of the addition output of the chamber on the test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2497
    • 提供者:李志强
  1. vhdl_key_with_debounce

    0下载:
  2. vhdl语言编写的消抖电路,用于按键消抖。-vhdl languages ​ ​ debounce circuit for key debounce.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1116
    • 提供者:
  1. fangdoudonganjian

    0下载:
  2. 本程序的开发环境是VHDL语言环境。本程序采用双进程即双process的方式,实现按键防止抖动编码电路的功能。具体是采用行和列双向选中控制的方式,来判断哪个按键被按下。本程序共有三个状态。 本程序是VHDL课程的状态机的典型应用实例!-This procedure is the VHDL language development environment environment. The program uses two-way process that double process to a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:774
    • 提供者:xdm
  1. qiangdaqi

    0下载:
  2. 基于VHDL与FPGA的四路抢答器的设计与仿真。主要模块:抢答、竞争冒险、抢答倒计时、加分减分、超时蜂鸣、按键消抖、答题记时等模块-VHDL and FPGA-based four-way Responder Design and Simulation. Main modules: Responder, competition and adventure, answer in the countdown, plus minus points, overtime buzzer, key debou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1539960
    • 提供者:丫头
  1. dianzichen

    0下载:
  2. 关于电子秤的VHDL程序,包括按键,LCD显示-VHDL program on electronic scales. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:5892
    • 提供者:heshuiming
  1. Debunce

    0下载:
  2. VHDL 弹跳消除电路,对于FPGA按键很需要-VHDL bounce elimination circuit, it is necessary for the FPGA button
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:632
    • 提供者:yrpk
  1. KeyBoard

    0下载:
  2. 用VHDL编写的键盘代码,有按键防抖动功能-VHDL code written with the keyboard, there are key anti-shake function. . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:426611
    • 提供者:bigl
  1. Electronic-clock

    0下载:
  2. 用VHDL语言实现一个24进制的电子时钟,其中设置一些按键改变数值等-VHDL language with a 24-band electronic clock, which set up some key changes in values, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2092
    • 提供者:苏鸿
  1. button-controled-state-machine

    0下载:
  2. VHDL编的按键去抖,可以实现对目前的显示取反,即1、0、1、0 变换。-VHDL code of the key to shaking, can negate the current display, ie 1,0,1,0 transformation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:228515
    • 提供者:lucy
  1. xiaoyaundaling

    0下载:
  2. 这是一个利用VHDL语言编写的校园打铃系统,它具有正常数字钟功能,通过按键的操作可以实现时间的切换显示与调整,以及春夏与秋冬两季的打铃时间表的切换控制。-This is a campus using VHDL language ring a bell system, which has normal digital clock function, the operation can be achieved through the key switch time display and adjus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:394841
    • 提供者:
  1. qudoudong

    0下载:
  2. 多按键去抖动电路VHDL源码,按键个数参数化,每个按键处理调用了上面的模块:-Many buttons to dither circuit VHDL source, the number of key parameter, each key, the call to the treatment of the above modules:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:韩延罡
  1. keyboard

    0下载:
  2. vhdl简单的键盘程序,可以通过它来初步的了解vhdl键盘程序的相关编写,具体功能是按键并显示相关的代码-vhdl simple keyboard program written in it to a preliminary understanding of vhdl keyboard program, the specific function keys and display the code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:646
    • 提供者:
« 1 2 ... 4 5 6 7 8 910 11 12 13 »
搜珍网 www.dssz.com