CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL UART

搜索资源列表

  1. uart 源码 (VHDL).zip

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:10750
    • 提供者:
  1. URAT_VHDL

    0下载:
  2. URAT VHDL程序与仿真 各程序运行环境为MAXPLUS_-UART procedures and VHDL simulation environment for the operation of the procedures for MAXPLUS_
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:93045
    • 提供者:王光辉
  1. puerto-Uart-rs232

    0下载:
  2. UART PORT VHDL USING DE2-115
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:161333
    • 提供者:crisalex
  1. uart

    0下载:
  2. VHDL语言模拟异步串口程序,实测可用,欢迎下载-uart source design by FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1757
    • 提供者:yantl
  1. UART

    0下载:
  2. UART (serial) protocol in VHDL with receive & send
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2131
    • 提供者:Roohi
  1. fpga-KEY-UART-SRAM

    0下载:
  2. fpga KEY UART SRAM 驱动 程序 VHDL VERILOG-fpga KEY UART SRAM driver VHDL VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:4696
    • 提供者:张如美
  1. uart

    0下载:
  2. uart_reciver with vhdl (ISE Design Suite 14.7)
  3. 所属分类:Project Design

    • 发布日期:2017-12-11
    • 文件大小:115424
    • 提供者:farzam
  1. uart

    0下载:
  2. VHDL串口程序 波特率115200 功能:返回所发一字节数据的各位取反-UART BAUD 115200
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:12691687
    • 提供者:LFR&51815
  1. kehshechenxu

    1下载:
  2. 编制一全双工UART电路,通过试验箱MAX202E转换成RS232电平,与计算机进行通讯实验,设置8个按键,按键值为ASIC码“1”~“8”,通过串口发送给计算机,在计算机上显示键值,同时在数码管最高位显示;计算机可发送“0”~“F”的ASIC码,FPGA接收后在数码管低位显示0~F。通过按键可设置波特率。 要求:波特率为三种 1200、2400、9600,由1个按键选择,3个LED分别指示; 数据格式为1位起始位、8位数据位和一位停止位; 上位计算机发送接收软件可使用
  3. 所属分类:其他

  1. UART_FPGA

    0下载:
  2. 使用VHDL写的UART收发模块,测试功能正常(Using VHDL to write the UART transceiver module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:147456
    • 提供者:BY冬子
  1. 用FPGA实现UART

    0下载:
  2. 用fpga实现异步串行通信。通过串口助手接收与发送(Implementation of serial communication with FPGA)
  3. 所属分类:串口编程

    • 发布日期:2017-12-21
    • 文件大小:664576
    • 提供者:大武
  1. test42_CoreABC

    0下载:
  2. VHDL How to use CoreABC-IP with uart microsemi project
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:2567168
    • 提供者:uson
  1. uart_latest.tar

    0下载:
  2. UART的VHDL建模代码,是一个标准的IP核(UART's VHDL modeling code is a standard IP core)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:
    • 文件大小:9216
    • 提供者:scenic_lee
  1. UAET_323_to_flow_led

    0下载:
  2. VHDL 实现串口收发并点亮流水灯,仿真成功(VHDL realizes serial port transceiver and lighting water lamp)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:790528
    • 提供者:yu我所欲
  1. uart_working_transmit

    0下载:
  2. UART transmission vhdl code, for nexys 3 fpga board
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:2254848
    • 提供者:spiegel
  1. uart_receiver

    0下载:
  2. Uart receiver VHDL code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:296960
    • 提供者:spiegel
  1. uart_design

    0下载:
  2. UART设计的VERILOG代码,具有FIFO功能,能实现CPU与外设之间的数据与指令通信(The VERILOG code designed by UART, which has the function of FIFO, can realize the communication between the data and the instruction between the CPU and the peripherals)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:547840
    • 提供者:沐羽1996
  1. 5_uart_test

    0下载:
  2. 基于xilinx的Artix7实现UART通信(UART communication based on Xilinx Artix7)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:788480
    • 提供者:kang30
  1. uart

    0下载:
  2. RS232通信程序,用于实现PC端与FPGA之间实现串口通信(RS232 communication program for realizing serial port communication between PC and FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:137216
    • 提供者:.00
  1. vhdl的串口UART编写

    0下载:
  2. 该资料是用vhdl语言实现串口UART的编写,程序包括发送模块,接收模块,波特率发生模块和顶层模块。程序无BUG,可以直接使用
  3. 所属分类:串口编程

« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 22 23 »
搜珍网 www.dssz.com