CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL fir

搜索资源列表

  1. FIR-filter-VHDL-code

    0下载:
  2. 基于FPGA的17阶FIR滤波器VHDL代码。文件附带了FIR数字滤波器理论的介绍。-FPGA-based 17-order FIR filter VHDL code. File with the FIR digital filter theory introduction.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:919501
    • 提供者:周鑫
  1. daima

    0下载:
  2. fir滤波器的代码实现,最好使用quartus ii开发工具-Fir filter code realization, had better use quartus ii development tools
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:12651
    • 提供者:王晶
  1. fir

    0下载:
  2. 用VHDL语言设计有限脉冲响应的FIR滤波器。用户可以在Xilinx ISE环境下运行。-With VHDL language design finite impulse response of FIR filter. Users can run Xilinx ISE environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470489
    • 提供者:chenlan
  1. fir_Verilog

    1下载:
  2. 用Verilog编写的fir滤波器程序!-Verilog prepared using the procedure fir filter!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5851
    • 提供者:yuming
  1. bandpass-filter

    1下载:
  2. 这是一篇关于带通滤波器的毕业设计论文,涵盖IIR与FIR滤波器的设计!-This is an article on the band-pass filter design graduate thesis, covering IIR and FIR filter design!
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1154866
    • 提供者:yuming
  1. dds

    0下载:
  2. 这是一个用vhdl语言实现dds的例子,已在quartusII里调通并可以下载到实验箱上,功能正确-This is a use of VHDL language dds example, has been in tune quartusII pass and can be downloaded to the experimental box, the function correctly
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:331839
    • 提供者:leezhihui
  1. fir_gen

    0下载:
  2. 数字信号处理的fpga实现,用VHDL语言编程实现FIR滤波器-Digital signal processing to achieve the FPGA, using VHDL language programming to achieve FIR filter
  3. 所属分类:DSP program

    • 发布日期:2017-04-11
    • 文件大小:1477
    • 提供者:songjunmin
  1. sdram_vhd

    0下载:
  2. FPGA设计的SDRAM控制器,有仿真代码,已通过验证-FPGA Design of SDRAM controller, there is simulation code has been validated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2185882
    • 提供者:yuhl
  1. coeff_rom_1_6

    0下载:
  2. FIR filter basic verilog code for implementation-FIR filter basic verilog code for implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1586
    • 提供者:surya
  1. coeff_rom_2_5

    0下载:
  2. FIR filter basic verilog code for implementation-FIR filter basic verilog code for implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1586
    • 提供者:surya
  1. ruan

    0下载:
  2. 扩频发射机,信道编码采用(2, 1, 7)卷积 码, 扩频模块采用扩频长度255 的kasami码, 极性变换模块为3bit 量化模式, 内插模块为每两比特间插入7bit 和输出滤波为16 阶的FIR 滤波器。-direct sequence spread spectrum transmitter
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-07
    • 文件大小:1459
    • 提供者:靳超
  1. coe

    0下载:
  2. 自动计算fir滤波器系数的工具,不妨一试-Automatic calculation of filter coefficients fir tools, try
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:28097
    • 提供者:sumli
  1. fir_16

    0下载:
  2. vhdl代码 实现16阶fir滤波器,可以仿真通过-vhdl code fir filter stage 16 can be adopted simulation
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:3100
    • 提供者:sumli
  1. fir_rtl

    0下载:
  2. Simple fir digital filter
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-12
    • 文件大小:976
    • 提供者:Digitalkurt
  1. case4

    0下载:
  2. DA算法中的使用的查找表模块,本程序先设计查找表,然后设计4*4DA算法模块,之后进行位扩展和字扩展得到32阶滤波器程序.附带4各表,和FIR滤波器序数-DA algorithm used in the lookup table module, the design of the program first look-up table, and then design 4* 4DA algorithm module, after the word-bit expansion and extens
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:9739
    • 提供者:黄萌
  1. FIR

    0下载:
  2. 所属分类:Special Effects

    • 发布日期:2017-04-14
    • 文件大小:5502
    • 提供者:司令
  1. IIR

    0下载:
  2. 毕业设计:基于FPGA的IIR滤波器设计-The design for IIR digital filter based on FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:471627
    • 提供者:lzndcb
  1. filter_final

    0下载:
  2. compiled vhdl code for fir filter
  3. 所属分类:Project Design

    • 发布日期:2017-05-07
    • 文件大小:1210472
    • 提供者:surya
  1. VHDL_FPGA_FILTER

    0下载:
  2. 用VHDL语言设计基于FPGA器件的高采样率FIR滤波器,基于VHDL与CPLD器件的FIR数字滤波器的设计-Design using VHDL language FPGA devices based on high sampling rate FIR filter, based on VHDL and CPLD devices, the design of FIR digital filter
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:913199
    • 提供者:玉玲
  1. beta

    0下载:
  2. Fir verilog code implemented to find out the output of fir filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1027
    • 提供者:dheeru
« 1 2 3 4 56 7 8 9 10 ... 14 »
搜珍网 www.dssz.com