CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog machine

搜索资源列表

  1. seg7x6

    0下载:
  2. 本代码使用Verilog语言编写的带状态机的数码管驱动并在FPGA上得到验证!-This code uses the Verilog language with digital tube-driven state machine to be verified on the FPGA!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:130131
    • 提供者:张飞
  1. key_scan

    0下载:
  2. 本代码使用Verilog语言实现了矩阵键盘的驱动(含状态机)-This code uses the Verilog language matrix keyboard driver (including the state machine)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:374018
    • 提供者:张飞
  1. ttraafficLighr

    0下载:
  2. <p>交通灯状态机的实现,用verilog HDL编程与开发,Xillinx ISE 6仿真,在实际电路中的到验证. 已通过测试。</p> -<p> The implementation of the traffic light state machine, using verilog HDL programming and development, Xillinx ISE 6 simulation, to verify the actual circui
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-07
    • 文件大小:1533490
    • 提供者:对手
  1. fsm

    0下载:
  2. verilog finite state machine program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:205652
    • 提供者:
  1. demo110

    0下载:
  2. 状态机,检测状态110,小演示程序,可直接运行,verilog hdl-State machine, the detection state 110, a small demo program can be run directly, verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:957
    • 提供者:
  1. state

    0下载:
  2. 状态机程序,具有简易功能的自动贩卖机verilog hdl-Program of the state machine, vending machine with a simple function verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2780229
    • 提供者:
  1. VSELLLERRe

    0下载:
  2. 一种基于verilog HDL的自动售货机控制电路设计:能对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机能接受1元,5角,111角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示出来以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号I -Verilog HDL-based vending machine control cir
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:1571
    • 提供者:清醒
  1. keypad

    0下载:
  2. 使用Verilog编写的实现FPGA键盘功能,使用了状态机-The use of FPGA in Verilog keyboard function, using the state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:999
    • 提供者:张大哥
  1. v16bbit_boothe

    1下载:
  2. verilog程序源码,实现两个16bit数乘法,使用booth算法,一种基于状态机实现,分层层次为datapath与controller两个子模块,testBench测试通过 -verilog program source code, and two 16bit multiplication using booth algorithm, based on the state machine implementation, the hierarchical level for the da
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:2087
    • 提供者:lease
  1. dianji

    0下载:
  2. 用VERILOG HDL编写的通过状态机控制步进电机的例程,很经典-VERILOG HDL prepared by the state machine to control the stepper motor routines, classic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:297466
    • 提供者:钱世俊
  1. paomadeng2

    0下载:
  2. 简单的跑马灯verilog程序,笔者是初学者,利用简单状态机编写的-Simple Marquee verilog program, the author is a beginner, use a simple state machine to write
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:548
    • 提供者:张坤
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. zidongshouhuoqi

    0下载:
  2. 这是我找到的一个关于商店自动收货机的Verilog的程序,是相对比较完全的,希望可以你们应用到-I wandered about the store automatically receiving machine Verilog program, and hope that you applied to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:3270
    • 提供者:wuliang
  1. Traffic

    0下载:
  2. 交通灯控制器的Verilog代码,采用了三段式的状态机描述,适合学习和练习,包括了验证代码-A Verilog code of Traffic light controller, using a three-stage state machine descr iption suitable for learning and practice, including the verification code
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:1366
    • 提供者:故都
  1. verilog_iic_at24c04

    0下载:
  2. verilog语言实现的iic协议通信,一段式状态机实现,结合按键和数码管,用来控制和显示数据-Verilog language the iic protocol communication, for some state machine implementation, buttons and digital tube, used to control and display data.
  3. 所属分类:Other systems

    • 发布日期:2017-11-20
    • 文件大小:6592
    • 提供者:胡峰
  1. key

    0下载:
  2. verilog的按键消抖程序,利用状态机完成的-verilog the the key debounce program, the completion of the state machine
  3. 所属分类:Other systems

    • 发布日期:2017-11-09
    • 文件大小:848
    • 提供者:young
  1. autoseller

    0下载:
  2. autoseller machine is the main function of the code with the language of verilog. it is accomplished with the state machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:329569
    • 提供者:LI
  1. EMAC6

    2下载:
  2. verilog实现的FPGA三态以太网链路层通信代码,里面有状态机,并按各个模块的功能分了文件夹,还有说明文档,自定义帧的产生和接收,开发环境为Xilinx ISE,测试无误。-verilog realization FPGA Tri-Mode Ethernet link layer communication code, which the state machine, according to the function of each module sub folder, as well a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-20
    • 文件大小:3602432
    • 提供者:trygov
  1. i2c-slave

    0下载:
  2. verilog HDL i2c协议从机的编写-verilog i2c protocol from the machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:297962
    • 提供者:bailang
  1. UART_Transmitter_Arch

    0下载:
  2. 自己编写的带有FIFO的UART串口发送模块,代码通过状态机实现,开发语言是Verilog-I have written to the FIFO UART serial transmit module code through the state machine implementation, development languages ​ ​ Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:1659
    • 提供者:wangzhongwei
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 18 »
搜珍网 www.dssz.com