CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog processor

搜索资源列表

  1. Tomasulo2

    0下载:
  2. 用verilog编写流水CPU。采用Tomasulo算法,进一步的减少了等式右边的各项暂停时间,并通过阅读文献,实现了一种基于此算法原理的机器PowerPC 620的CPU的雏形-Tomasulo Based Speculative Processor
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:959804
    • 提供者:唐明
  1. VGA_CCD531

    0下载:
  2. 本文围绕一个包含Nios II软核处理器的可编程片上系统展开数码相机的样机设计。论文首先对样机所要达到的整体功能进行了规划,接下来并行开展了软硬件设计。在硬件方面,充分利用了所使用平台提供的SD卡插槽、键盘、数码管、SRAM等各种硬件资源,并用Verilog HDL硬件描述语言设计了样机系统所需要的VGA接口控制器、CMOS图像传感器接口控制器以及VGA显示存储器;在软件方面,本文基于Nios II软核处理器用C语言实现了SD卡的驱动、FAT文件系统的移植、VGA显视器的驱动以及BMP图片文件的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:15078555
    • 提供者:
  1. SCMIPS

    0下载:
  2. 使用verilog代码描述了一种简单的单周期MIPS处理器实现,并在ModelSim SE6.5c调试通过。-The verilog code describes a simple, single-cycle MIPS processor implementation, and debugging through in ModelSim SE6.5c,.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-12-04
    • 文件大小:134602
    • 提供者:赵成龙
  1. sARM01_07_12_2

    0下载:
  2. verilog hdl实现的ARM处理器-ARM processor implement by verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:81507
    • 提供者:lf
  1. src

    0下载:
  2. 自己写的一个求两个32位操作数的最大公约数处理器的verilog代码,采用的是流水线结构-A seek the greatest common divisor of two 32-bit operands processor verilog code pipeline structure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:3661
    • 提供者:ray
  1. i2c

    0下载:
  2. 用verilog写的I2C源码,对于学习硬件语言和处理器及总线相关知识有很大帮助。-I2C source code, written with verilog language and learning hardware processor and bus-related knowledge.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:367831
    • 提供者:shen jun
  1. cpu

    0下载:
  2. 用verilog语言写的简单cpu,在处理器功能和结构上,对于初学者有很大帮助。-Verilog language write simple cpu, processor function and structure of great help for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:14894
    • 提供者:shen jun
  1. ASSIGNMENT3

    0下载:
  2. Implementation of risc processor program in verilog coding.-Implementation of risc processor program in verilog coding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:118359
    • 提供者:poo
  1. Pipeline-2.zip

    0下载:
  2. Pipeline processor verilog components ,Pipeline processor verilog components
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:2833
    • 提供者:Aria
  1. Pipeline-3.zip

    0下载:
  2. Verilog codes for pipelined processor,Verilog codes for pipelined processor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:3005
    • 提供者:Aria
  1. s_mips

    0下载:
  2. FPGA verilog mips processor - pipeline reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:2126
    • 提供者:howyaaa
  1. cpu

    0下载:
  2. 用system verilog写的一个arm处理器原代码。-Write an ARM processor system verilog source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:3225498
    • 提供者:张力
  1. LBC_Avalon2.0_SOPC

    0下载:
  2. 基于SOPC Builder, EP3C40系列FPGA的Avalon总线和MPC8349处理器本地总线LBC,采用Verilog编写的Avalon总线与LBC的转换接口。-Based on SOPC Builder, EP3C40 FPGA family Avalon bus and MPC8349 Processor Local Bus LBC, using Verilog prepared with LBC conversion Avalon bus interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:6526871
    • 提供者:鲁文帅
  1. seg7

    0下载:
  2. fpga上nios处理器avalon总线数码管驱动,包含任务逻辑,寄存器,和接口的verilog HDL描述-fpga nios processor avalon bus on digital tube driver, including the task logic, registers, and interfaces verilog HDL descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4934
    • 提供者:郎亚洲
  1. grayscale

    0下载:
  2. 灰階(gray-scale)圖像處理(60*60 pixel)controller控制各個程式的地址以及開關,input_mem將資料讀進記憶體,grayscale將讀取資料像素的亮度以數值來表示,將24bit的 像素化成四個8bit的值輸出。接著進入sobel,在此將前面的四個值乘上1或-1個別的相加,得出新的四個值,輸入進shiftcase進行threshold的判斷,大於threshold則表現出白色(255),小於threshold則表現出黑色(0),最後將結果存入記憶體out_mem。
  3. 所属分类:Special Effects

    • 发布日期:2017-04-25
    • 文件大小:76563
    • 提供者:sara kuo
  1. simple

    2下载:
  2. 一个简单的8位处理器完整设计过程及verilog代码,适合初 学ic设计的人用,并含有我个人写的指令执行过程,仅供参 考-A simple 8-bit processor and the complete design process verilog code, suitable for beginners ic design for human use, and contains my personal writing instruction execution, for ref
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:82308
    • 提供者:lijinpeng
  1. uC_CISC_16_Design

    0下载:
  2. Verilog Based CISC Processor.....Availble for Purchase...rahulshandilya@outlook.com
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2683
    • 提供者:Rahul
  1. ME-Project-Reference

    0下载:
  2. This project used code verilog to load on Kit Xilinx Spartan 3A. Wireless Sensor Nodes Processor Architecture and Design.I prefered on the internet
  3. 所属分类:Project Design

    • 发布日期:2017-04-28
    • 文件大小:197765
    • 提供者:thuanbk
  1. MIPS

    0下载:
  2. MIPs Processor in Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3533572
    • 提供者:Ferney
  1. 4weizhucijinweijiafaqi_verilog

    0下载:
  2. 四位逐次进位加法器的verilog实现。附tb.v文件。单片机开发,数字逻辑与处理器基础实验-Four successive carry adder verilog implementation. Tb.v attached file. SCM development, digital logic and processor basic experiment
  3. 所属分类:IME Develop

    • 发布日期:2017-04-06
    • 文件大小:681
    • 提供者:JJ
« 1 2 3 4 56 »
搜珍网 www.dssz.com