CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog state machine

搜索资源列表

  1. dct01

    0下载:
  2. Verilog编写的串口通讯下解码状态机-Verilog serial communication prepared under the decoder state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:294332
    • 提供者:tagpair
  1. verilog

    1下载:
  2. 介绍了一种硬件控制的自动数据采集系统的设计方法,包括数字系统自顶向下 (1DP—DOwN)的设计思路,Vernog}Ⅱ)L对系统硬件的描述和状态机的设计-Introduced a hardware-controlled automated data acquisition system design, including digital systems from top to bottom (1DP-DOwN) design ideas, Vernog} Ⅱ) L of the system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:209996
    • 提供者:郭天然
  1. dir3

    0下载:
  2. VERILOG 语言写的使用状态机实现奇数分频-VERILOG language is written by the state machine to implement an odd number of points frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:108637
    • 提供者:li
  1. adc

    1下载:
  2. 设计ADC控制器,Verilog代码.利用有限状态机设计方法在FPGA上设计ADC0809的接口控制器,采样结果送到数码管显示出来。-ADC controller design, Verilog code using finite state machine design in the FPGA design ADC0809 interface controller, the sampling results to the digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3226
    • 提供者:钟雪美
  1. state-machine

    0下载:
  2. the two pdf describe the state maceine designing with VHDL or Verilog! The examples are very good with your work!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:278191
    • 提供者:李明
  1. mealy_sequence

    0下载:
  2. 实现米粒状态机 用verilog语言实现状态机的过程-Implement a state machine with a grain of rice verilog state machine language course
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:142870
    • 提供者:陈娅娟
  1. state

    0下载:
  2. verilog 应用状态机设计的序列检测器-verilog ,state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:507842
    • 提供者:charlie
  1. state

    0下载:
  2. 状态机程序,具有简易功能的自动贩卖机verilog hdl-Program of the state machine, vending machine with a simple function verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2780229
    • 提供者:
  1. Finite-state-machine

    0下载:
  2. 用Verilog语言编写带有特定序列的检测功能-Verilog language with a specific sequence detection function
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:9898
    • 提供者:李炜
  1. AD0804

    0下载:
  2. AD0804的控制程序,有VHDL和verilog两个方式。还有AD0804的介绍,和状态机控制-AD0804 control program, there are two ways to VHDL and verilog. There AD0804 introduction, and the state machine control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:32862
    • 提供者:张业
  1. Verilog-FSM(TSC)

    0下载:
  2. Finate State machine
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:420687
    • 提供者:SMS
  1. serial_to_para

    0下载:
  2. verilog状态机实现并串转换serial_to_para,本人已调试并仿真成功,绝对可用-verilog state machine and string conversion,i think it is very important to someone who is ready to learn verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:718
    • 提供者:范志荣
  1. fsm_seq0101

    0下载:
  2. verilog状态机实现的序列检测器,本人仿真通过,绝对可用,欢迎大家下载学习。-verilog state machine sequence detector simulation by himself, absolutely free, welcome to download the study.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:603
    • 提供者:范志荣
  1. The-four-locks-Verilog-based-design

    0下载:
  2. 基于Verilog的四位密码锁设计,采用有限状态机进行编写-The four locks Verilog-based design, finite state machine for the preparation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:10279
    • 提供者:廖方颖
  1. verilogiic1121

    0下载:
  2. 用verilog状态机写的IIC通信模块,包括两个子模块和一个顶层模块,均为verilog源码-Written in verilog state machine IIC communication module, including two modules and a top-level module, they are all the verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4604
    • 提供者:zhaolin
  1. Verilog-HDL-washer

    1下载:
  2. 智能洗衣机控制器 基于verilog hdl状态机 具有多种功能切换-Intelligent washing machine controller verilog hdl-based state machine has multi-functional switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-16
    • 文件大小:50176
    • 提供者:冯先申
  1. Verilog-code-for-finding-GCD

    0下载:
  2. State machine implemented in verilog to find GCD of two 8 bit numbers. Two files are included (module and its testbench)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1393
    • 提供者:sumeshp1
  1. Verilog-example3

    0下载:
  2. verilog实例分析第三部分,通过实例分析讲解有限状态机的设计过程。-The third case study verilog part, by an example to explain the finite state machine design process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1550286
    • 提供者:lyon
  1. 32-bit-division-design-In-Verilog

    1下载:
  2. 32位除法器,基于状态机设计,使用Verilog实现-32-bit division based on state machine. Using Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:724
    • 提供者:yangd
  1. test-series-10010

    0下载:
  2. 用于检测序列10010的程序,Verilog的状态机练习-Used to test series 10010 program, Verilog state machine practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:381477
    • 提供者:王佳
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com