CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - adder verilog

搜索资源列表

  1. adder16_2

    0下载:
  2. 16位2级流水线加法器的Verilog设计-16 2 pipeline adder Verilog Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:589
    • 提供者:xiaobai
  1. adder4

    0下载:
  2. 这是一个用verilog编写的四位加法器,编程环境是xilinx ise10.1.-This is a written with the four adder verilog, programming environment is xilinx ise10.1.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:397363
    • 提供者:wupeixin
  1. adder

    0下载:
  2. 用verilog语言描述的二级加法器,通过在ise环境下编译成功。-With the verilog language to describe the two adders, compiled by ise environment successfully.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:324422
    • 提供者:刘一平
  1. adder3

    0下载:
  2. 此源代码是基于Verilog语言的七人投票表决器 、2 个 8 位数相乘 、8 位二进制数的乘法 、同一循环的不同实现方式、使用了`include 语句的 16 位加法器 、条件编译、加法计数器中的进程、任务、测试、函数、用函数和 case语句描述的编码器、阶乘运算函数、测试程序 、顺序执行、并行执行,特别是七人投票表决器,这是我目前发现的最优的用硬件描述的源代码。-The Verilog language source code is based on the seven-vote, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:2048
    • 提供者:王柔毅
  1. 64B_adder

    0下载:
  2. Verilog HDL 64位并行加法器,并且还含有测试文件,可供测试-Verilog HDL 64-bit parallel adder, and also contains a test file, ready for testing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:810
    • 提供者:xxz
  1. cla16

    0下载:
  2. 16位超前进位加法器的源代码,整个工程文件都有,是在ISE10.1下建立的,可以帮助理解超前进位原理(对了,是Verilog的,因为上面没看到只好选VHDL了)-16-bit look-ahead adder the source code files have the whole project was established under the ISE10.1 to help understand the lookahead principle (By the way, is the Ver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:644425
    • 提供者:nikis
  1. Adder_Kogge_Stone_32bit_With_Test_Bench

    1下载:
  2. verilog source code and test bench of Adder Kogge Stone 32-Bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:528579
    • 提供者:abanuaji
  1. Full.adder

    0下载:
  2. Verilog的RTL级别全加器和测试平台,测试通过-Verilog RTL level full adder and test benck
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1011
    • 提供者:
  1. Gate.level.adder

    0下载:
  2. Verilog 门电路级别的全加器,测试通过-Verilog Gate Level adder and testbenck
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:610
    • 提供者:
  1. serial_adder

    0下载:
  2. This is a simple Serial Adder for Quartus II. The source code is in verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:392356
    • 提供者:Junkie
  1. test-org

    0下载:
  2. Flosting point adder verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:470628
    • 提供者:Ahmad
  1. add32

    0下载:
  2. 32位加法器,基于vhdl语言,主要用于测试算法-32-bit adder, based on the vhdl language, mainly used for testing algorithms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2216328
    • 提供者:zhang
  1. lab

    0下载:
  2. verilog语言设计同步加法器,异步减法器,16位计数器-adder verilog language design synchronous, asynchronous subtractor, 16-bit counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:763167
    • 提供者:白叶叶
  1. verilog-programs

    0下载:
  2. These are first programs of my asic and fpgas lab.This folder contains simple half adder and its test bench using verilog language.Then it also contains 4 to 1 mux using two 2 to 1 muxes.Then its also has its test bench to check the code.These progra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1650
    • 提供者:gul
  1. add4

    0下载:
  2. 四位加法器verilog源代码,经过modelsim仿真验证正确,用ISE7.1i以上版本打开工程文件。-Four adder verilog source code, right after the modelsim simulation with ISE7.1i later open the project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:130458
    • 提供者:翁开胜
  1. add4

    0下载:
  2. 加法器的verilog代码,描述一个四位的加法器,可移植性很强,适合很多场合。-The adder verilog code, describe a four of the adder, portability is very strong, suitable for many occasions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:582
    • 提供者:panghui
  1. add32

    0下载:
  2. 32位加法器,verilog实现,且有仿真图像-32-bit adder and programed by veilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:261899
    • 提供者:BOBO
  1. count4

    0下载:
  2. 四位加法器的Verilog实现,可以实现综合工具对其综合-Four adder Verilog implementation of their comprehensive synthesis tool can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:18660
    • 提供者:guankun
  1. ADDER

    0下载:
  2. verilog DHL编写的一位全加器,编译通过。稍作修改便可编程任意位加法器。-verilog DHL write a full adder, compiled by. Slight modifications can be programmed any adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:57234
    • 提供者:顾逸峰
  1. Verilog

    0下载:
  2. 基于verilog HDL编写的各种实例。。里面记载了计数器,全加器,等等的代码。-Based on various examples written in verilog HDL. . Recording the counter, full adder, and so the code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:247716
    • 提供者:黄灿灿
« 1 2 3 4 56 7 8 9 10 ... 19 »
搜珍网 www.dssz.com