CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - altera

搜索资源列表

  1. q_sys

    0下载:
  2. PCIe ip核。使用Quartus II 11.0,在Altera开发板4cgx15上验证通过。-PCIe ip core. Using the Quartus II 11.0, in the Altera development board 4cgx15 verify through.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:2875
    • 提供者:xianwy
  1. CyclonePLL

    1下载:
  2. Cyclone™ FPGA具有锁相环(PLL)和全局时钟网络,提供完整的时钟管理方案。Cyclone PLL具有时钟倍频和分频、相位偏移、可编程占空比和外部时钟输出,进行系统级的时钟管理和偏移控制。Altera® Quartus® II软件无需任何外部器件,就可以启用Cyclone PLL和相关功能。本文将介绍如何设计和使用Cyclone PLL功能。 PLL常用于同步内部器件时钟和外部时钟,使内部工作的时钟频率比外部时钟更高,时钟延迟和时钟偏移最小,减小或调整时钟
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:554027
    • 提供者:裴雷
  1. DigitalStopwatch

    0下载:
  2. 本数字秒表采用ALTERA公司ACEX1K系列的EP1K100QC208-3芯片为核心。数字秒表实现的功能:按开始开关启动秒表并开始计时,实现了从0.01秒到59分59.99秒的计时,若期间由于某种需要,按下暂停开关(开始开关),当事情解决,重新按下开始开关,使其从暂停状态恢复到工作状态。,当下一次计时时,按下清零开关,对其进行清零操作。-The digital stopwatch using ALTERA company ACEX1K series EP1K100QC208-3 chip as
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:17308
    • 提供者:sunnan
  1. CPLD-CRACK-SIEMENS-200PLC

    0下载:
  2. 可用来破解分析西门子200 PLC与模块的通讯协议,基于ALTERA CPLD EPM240的设计. 需要配合分析板配套使用。-Analysis can be used to crack the Siemens 200 PLC and the communication protocol modules, based on the ALTERA CPLD EPM240 design. The need to tie in with the analysis supporting the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1827
    • 提供者:wuzhen
  1. part2

    0下载:
  2. Altera DE2 开发板试验3 第2部分VHDL答案-Altera DE2 Lab3 Part2 VHDL Answer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:328281
    • 提供者:jamie choo
  1. bintoBCD

    0下载:
  2. 介绍了基于Altera 公司的CPLD 芯片FL EX10 K,以及利用VHDL 语言实现多位二进 制码转换成8421BCD 码的原理、设计思路和软件实现。-Introduction based on Altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:232113
    • 提供者:焦扬
  1. USB_BLASTER_SCHANDPCB

    0下载:
  2. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:71721
    • 提供者:沈世荣
  1. niosii_eval_layout

    0下载:
  2. altera公司最新的cyclone3的技术文档-altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2120571
    • 提供者:李永杰
  1. FPGAforDLC

    0下载:
  2. 采用Altera公司的FPGA芯片,在MAX+plus II软件平台上实现多路HDLC电路-Using Altera s FPGA chips, in MAX+ Plus II software platform to achieve multi-channel HDLC circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:62921
    • 提供者:yangj2
  1. coswave

    0下载:
  2. 主要是通过Altera公司的Cuclone系列的FPGA-EP1C3T144C8产生余弦波的源代码 基于LPM-ROM余弦波一周期含有256个10位数据;-Mainly through Altera s Cuclone series of FPGA-EP1C3T144C8 cosine wave generated source code based on the LPM-ROM cosine wave of one cycle containing 256 10-bit data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:323437
    • 提供者:江俊
  1. sopc_helloword

    0下载:
  2. altera niosii SOPC helloword 学习-altera niosii SOPC helloword learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2972
    • 提供者:wangzhaohui
  1. Altera_Nios_II_Soft_Processor

    0下载:
  2. Introduction to the Altera Nios II Soft Processor
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:114971
    • 提供者:yingjiang
  1. pwm_avalon_interface

    0下载:
  2. altera 公司内部PWM的HDL及驱动代码-altera internal PWM and driver of the HDL code
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:22608
    • 提供者:summery
  1. time

    0下载:
  2. altera 中基于NIOS软核系统的定时器应用程序 -altera-based NIOS soft-core system timer application
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:11804
    • 提供者:黄杰
  1. hanzi_16_point

    0下载:
  2. altera 中基于NIOS软核系统的16点阵汉字显示程序-altera in NIOS soft-core systems based on 16 characters dot matrix display program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:300106
    • 提供者:黄杰
  1. uart_rxd

    0下载:
  2. altera 中基于NIOS软核系统的串口接收通信程序-altera-based NIOS soft-core system to receive serial communication program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:5439
    • 提供者:黄杰
  1. uart_txd

    0下载:
  2. altera 中基于NIOS软核系统的串口发送通信程序-altera-based NIOS soft-core system to send the serial communication program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:5208
    • 提供者:黄杰
  1. agx_5v1_01_advanced_copy

    0下载:
  2. Altera FPGA APEX II,APEX 20K
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1574565
    • 提供者:wd
  1. DDSdevelop

    0下载:
  2. 此为使用DDS直接数字频率合成器之设计报告,作者相当的详细介绍DDS之原理以及使用Altera之FPGA做设计,供使用者参考.-This is the use of DDS Direct Digital Synthesizer Design report, the authors considerable detail on the principle of DDS and the use of Altera
  3. 所属分类:File Formats

    • 发布日期:2017-04-08
    • 文件大小:677540
    • 提供者:JERRY
  1. SimpleMSN

    0下载:
  2. 自己写的即时通信软件,包含客户端/服务器端,采用广播模式通信,可以由一个服务端连接多个客户端(可以指定多个iP),服务端发送确认信息并在客户端弹出提示信息,然后开始由服务端单向通信。将类似MSN的提示框封装为DLL,在客户端程序中调用。VC6编译通过,适合做二次开发。-Write their own real-time communication software, including client/server-side, using radio communication mode, you
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-08
    • 文件大小:630484
    • 提供者:陈文捷
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com