CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga 开发板

搜索资源列表

  1. DE2_115_NIOS_DEVICE_LED

    0下载:
  2. DE2-115开发板LED显示测试源码,对fpga开发者提供参考-DE2-115 development board LED display test source, provide a reference for fpga developer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5332915
    • 提供者:谢帅
  1. Elevator

    0下载:
  2. 基于FPGA学校开发板实现4层电梯控制,引脚配置完毕,通过LED灯实现楼层切换-FPGA-based school boards to achieve 4-story elevator control, pin configuration is completed, achieved through the floor switch LED lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:632744
    • 提供者:sun
  1. top_PR

    0下载:
  2. 用户将使用具有局部重配置能力的ISE 12.1,进行综合HDL模块并完成设计。之后,使用PlanAhead12.1来布局规划设计,并内部调用执行和分析工具,包括:调用FPGA Editor查看设计实现 调用Constraint Editor创建时序约束;用Timing Analyzer进行时序分析。最后,用户可以用XUPV5开发板来进行硬件验证,并用iMPACT软件来下载全局和局部比特流。-Top-level design dynamically reconfigurable, static l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1956
    • 提供者:许飞
  1. topone

    0下载:
  2. 基于火龙刀开发板的FPGA和PC的UART串口通信的VHDL实现,支持LCD实现分页显示和LED 数码管显示。-FPGA and PC UART communication module implemented by VHDL, running on Dragon platform, with support of LCD and LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:19246
    • 提供者:bingo
  1. fpga_code

    0下载:
  2. ZLG_EasyFPGA060开发板配套的源程序。包括:AES加密实验及文档,同步FIFO实验等项目。让新手快速掌握FPGA的开发流程,为进一步学习好FPGA打下坚实的基础。-ZLG_EasyFPGA060 development board supporting source. Include: AES encryption and document experiments, synchronous FIFO experiments and other projects. Novice to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:24718336
    • 提供者:王石儿
  1. DE2-user-Manual-(Chinese-edition)

    0下载:
  2. DE2板教学开发板中文用户手册,DE2板的着眼于为在数字逻辑,计算机组织和FPGA 方面的学习提供一个理想的工具。-DE2 user Manual (Chinese edition)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5751140
    • 提供者:googller
  1. SDI_PassThru_VHDL_Virtex5_ise12_2

    0下载:
  2. SDI_PassThru_VHDL是针对Virtex5 LXT FPGA的SDI码流从GTP收端环出到发端的一个完整工程,源自于Xilinx提供的源码,不一样的是去掉了开发板ml571所要求的昂贵的收发时钟同步子板,经过长时间的调试后,终端电视仍然可以显示,但是会丢帧。(收发时钟不同步,丢帧和收不到SDI码流都是正常的)-SDI_PassThru_VHDL for SDI application in the Virtex 5 FPGA board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11820261
    • 提供者:dongtian
  1. ledwater

    0下载:
  2. fpga流水灯altera DE2开发板-fpga altera DE2 led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:158005
    • 提供者:范梁
  1. fre_count

    0下载:
  2. fpga频率计altera DE2开发板调试通过-fpga altera DE2 frequency count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-20
    • 文件大小:453632
    • 提供者:范梁
  1. eda

    0下载:
  2. 本实验目标是利用FPGA逻辑资源,编程设计实现一个串行通用异步收发器。实验器件为“创新综合实验平台”上集成的Altera NIOSII开发板,FPGA芯片型号为EP1C12F324C8。电路设计采用VHDL硬件描述语言编程实现,开发软件为QuartusII6.0。-The goal is to use the FPGA logic resources, programming design realize a serial general asynchronous transceiver. Th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:394600
    • 提供者:郭晓阳
  1. ygyTest

    0下载:
  2. 利用开源网站上的8051核,在Spartan 3A开发板上实现成功,开发环境是Xilinx ISE Design Suite 12.3,顶层文件基于原理图开发,扩展了外部ROM和RAM,且更改了地址宽度-implment the mc8051 IP in spartan-3A FPGA starten kit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18179395
    • 提供者:杜春城
  1. Fingerprint-Identification-System-

    0下载:
  2. 基于FPGA的指纹识别系统设计与实现,采用板卡是Altera的DE2开发板-The Design and Realize of Fingerprint Identification System
  3. 所属分类:Graph Recognize

    • 发布日期:2017-03-29
    • 文件大小:22096
    • 提供者:环节
  1. Digital_stopwatch

    0下载:
  2. 秒表程序,FPGA实现,是在DE-2开发板上实现的-Digital stopwatch,FPGA,DE-2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6078
    • 提供者:王星
  1. S1_24yima

    0下载:
  2. FPGA中的2-4译码器代码,是红色飓风EP1C6开发板上配套的实验代码。-2-4 decoder in the FPGA code, the red hurricane EP1C6 development board supporting experimental code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:135461
    • 提供者:马宇红
  1. EP2C5_EP2C8

    0下载:
  2. EPC25_EPC28_FPGA开发板原理图,FPGA的最小系统设计,对于初学者学习FPGA有很大的帮助-EPC25_EPC28_FPGA development board schematics, the minimum system design of the FPGA for beginners to learn FPGA a great help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:86288
    • 提供者:xiaofulong
  1. dd

    0下载:
  2. 本系统由FPGA构建,使用Nexys2(120万门)开发板。在VGA接口显示器上绘制图形,分辨率1024x768,绘图部分基于硬件,有画点、画线、画矩形、字符功能。使用32位处理器产生使用应绘制的内容,使用PSRAM作为显示存储器。可以以很高的刷新率绘制各种图形,具有很高的应用价值。-The system built by the FPGA of Nexys2 (120 million gates) development board. VGA connector on the monitor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:58361
    • 提供者:wen
  1. demo7-uart

    0下载:
  2. quartus 串口程序 可以通过开发板的串口对FPGA进行读写操作-the quartus serial program can development board through the serial port on the FPGA to read and write operations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:474463
    • 提供者:邢卫华
  1. T0424_auto_double

    0下载:
  2. 双核独立cpu分别控制流水灯(使用DE1开发板)(FPGA)-Dual-core independent CPUs control the water lights (using the DE1 development board)(FPGA)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12623957
    • 提供者:xuting
  1. counter

    0下载:
  2. 用4个T触发器组成16位的计数器,FPGA实验ALTER DE2开发板自带光盘的案例程序解析-Four T flip-flop 16 of the counter, the case of FPGA experiment ALTER DE2 development board comes with CD-ROM program parse
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13945
    • 提供者:冷静
  1. clocker-and-timer

    0下载:
  2. 时钟与计时器,FPGA实验alter DE2开发板自带光盘的案例教程编程解析-Clock and timer, FPGA experimental alter the DE2 development board comes with the CD case tutorial programming resolution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:11431
    • 提供者:冷静
« 1 2 ... 27 28 29 30 31 3233 34 35 36 37 ... 41 »
搜珍网 www.dssz.com