CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - m sequence

搜索资源列表

  1. jiaozhi

    0下载:
  2. 搜寻最短延时的交织m序列伪码,可选择m序列的长度,自动产生生成多项式-Search shortest delay interleaved pseudo-code of the m-sequence, to select the length of the m-sequences generated automatically generating polynomial
  3. 所属分类:DSP program

    • 发布日期:2017-11-18
    • 文件大小:1870
    • 提供者:李森
  1. Mandmni

    0下载:
  2. M序列及逆M序列的产生,可用于最小二乘法等算法-M sequence and inverse M sequence generation can be used in the method of least squares algorithm
  3. 所属分类:Other systems

    • 发布日期:2017-12-04
    • 文件大小:529
    • 提供者:xiaoyuba
  1. m

    0下载:
  2. 伪随机序列、伪噪声(PN)码或伪随机码。可以预先确定并且可以重复实现的序列称为确定序列;既不能预先确定又不能重复实现的序列称随机序列;不能预先确定但可以重复产生的序列称伪随机序列。-Pseudo-random sequence, a pseudo noise (PN) code, or pseudo-random code. The sequence is called to determine the sequence can be pre-determined and can be repe
  3. 所属分类:Communication

    • 发布日期:2017-11-15
    • 文件大小:1165312
    • 提供者:衡昱帆
  1. Msignal_text

    0下载:
  2. M序列发生器,长度可调,输出为有符号数,性能稳定。-M-sequence generator, adjustable length, the output for a number of symbols, and stable performance.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:46605
    • 提供者:zwl6600233
  1. Fibonacci-Sequence

    0下载:
  2. 汇编 菲波那契数列 include irvine32.inc 原理:f3=f2+f1 .data str1 byte "请输入所求的菲波那契数列的位数:",0 str2 byte "此程序中菲波那契数列最大位置:",0 j dword 2 求最大位置 f1 dword 1,0,0 用3个32位表示一个96位 f2 dword 1,0,0 用3个32位表示一个96位 m dword ? .code main proc mov
  3. 所属分类:assembly language

    • 发布日期:2017-11-10
    • 文件大小:1814
    • 提供者:念迹
  1. RLS

    0下载:
  2. 仿真对象如下: 其中, v( k )为服从N (0,1) 分布的白噪声。输入信号u ( k) 采用M 序列,幅度为 1。M 序列由 9 级移位寄存器产生,x(i)=x(i-4)⊕x(i-9)。 选择如下辨识模型: 加权阵取Λ = I。 衰减因子β = 0.98,数据长度 L = 402。 辨识结果与理论值比较,基本相同。辨识结果可信 -he simulation object is as follows: among them, v (k) to obe
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-11-13
    • 文件大小:588
    • 提供者:张鹏
  1. radar-signal-simulation

    2下载:
  2. matlab仿真。 对m序列和互补码序列的仿真:分别对m序列和四相互补码序列的发射,回波,和脉冲压缩进行了仿真。 同时包含线性调频信号(LFM)和m序列以及简单单脉冲的模糊函数仿真的文件。-The matlab simulation. m sequence and complementary code sequence simulation: four-phanse complementary code and m sequence code s emission, echo, a
  3. 所属分类:Communication

    • 发布日期:2017-11-10
    • 文件大小:9299
    • 提供者:刘影
  1. M31serial

    0下载:
  2. 码长为31的M序列产生器,实现码长为31的M序列发生器的功能-Code length of 31 M-sequence generator, the code length of 31 in the M-sequence generator function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:56590
    • 提供者:李丽
  1. Mxulie

    0下载:
  2. 在matlab环境下,根据四级移位寄存器产生的M序列(-1,+1)-Matlab environment, according to the four shift register M sequence (-1,+1)
  3. 所属分类:matlab

    • 发布日期:2017-11-18
    • 文件大小:711
    • 提供者:周洋
  1. niMxulie

    0下载:
  2. 在matlab环境下,产生M序列及逆M序列,并画图进行比较-Matlab environment, to generate M sequences and inverse M sequence, and drawing comparison
  3. 所属分类:matlab

    • 发布日期:2017-12-10
    • 文件大小:629
    • 提供者:周洋
  1. mxulie

    0下载:
  2. 基于matlab的产生M序列的源代码是5级线性移位反馈寄存器-Source code to produce the M-sequence 5 linear shift feedback register
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-01-25
    • 文件大小:1024
    • 提供者:杜臻
  1. m_sequence

    0下载:
  2. 自己编写的小程序,产生任意阶数的m序列,希望对你有帮助-I have written a small program to generate arbitrary order m sequence, and you want to help
  3. 所属分类:Other systems

    • 发布日期:2017-12-08
    • 文件大小:13746
    • 提供者:吕旭林
  1. bpskmod

    0下载:
  2. BPSK的扩频和调制的matlab程序,其中扩频码为m序列,包含产生m序列的matlab程序。-BPSK spread spectrum modulation matlab program, the spreading code of m-sequence contains sequence matlab program generates m.
  3. 所属分类:Communication

    • 发布日期:2017-11-15
    • 文件大小:1189
    • 提供者:尹落尘
  1. gold

    0下载:
  2. 产生m序列和gold序列的matlab函数,其中gold序列的产生调用了m序列函数。-Generated m-sequence and gold sequence matlab function, gold sequence generation is called m-sequence function.
  3. 所属分类:matlab

    • 发布日期:2017-11-19
    • 文件大小:760
    • 提供者:尹落尘
  1. DSSS-system.rar

    0下载:
  2. DS-CDMA通信系统,扩频码包括M序列。GOLD,正交GOLD,Gray规则瑞利衰落信道,多用户,统计误码率。,DS-CDMA communication system, the spreading codes including an M sequence. GOLD, orthogonal GOLD, Gray rules Rayleigh fading channel, multi-user, the statistical error rate.
  3. 所属分类:Communication

    • 发布日期:2017-11-08
    • 文件大小:4829
    • 提供者:米兰
  1. m

    0下载:
  2. matlab生成伪随机序列,可以便于仿真实验,以及图像研究。-matlab generate pseudo-random sequence, can facilitate the simulation experiments, as well as image research
  3. 所属分类:Special Effects

    • 发布日期:2017-11-08
    • 文件大小:4453
    • 提供者:于朋君
  1. Turbo-code-simulation

    1下载:
  2. Turbo码仿真的matlab代码,采用sova译码和logmap译码两种方式,包括分组交织和m序列交织两种交织方式-Turbo code simulation matlab code, using the the sova the decoder and logmap decoding two ways, including packet interleaving, and m-sequence interleaving two interleaving
  3. 所属分类:3G develop

    • 发布日期:2017-11-11
    • 文件大小:733831
    • 提供者:王子秋
  1. phase-encoding--pressure-sidelobe-

    1下载:
  2. 对于相位编码信号的脉压旁瓣抑制。包括巴克码,m序列,MAC码等。-For phase encoding signal pulse pressure sidelobe suppression. Barker code, m sequence, MAC code.
  3. 所属分类:Development Research

    • 发布日期:2017-11-23
    • 文件大小:3167664
    • 提供者:石志敏
  1. m_4_generater

    0下载:
  2. m序列发生器,verilog hdl语言 ,4位-m-sequence generator, verilog hdl language 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:651
    • 提供者:马俊汉
  1. m_sequence

    0下载:
  2. 本设计是用来产生m序列的。既有关于m序列的原理性概述,又有自己编的产生m序列的函数。已通过仿真。注释详尽。-This design is used to generate m-sequences. Both the overview of the principle of m-sequences, have made themselves a function of m sequence generation. Simulation has passed. Detailed notes.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-11-23
    • 文件大小:213078
    • 提供者:liuwei
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 42 »
搜珍网 www.dssz.com