CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - music fpga

搜索资源列表

  1. FM

    0下载:
  2. 基于FPGA和弦!!!音乐芯片的设计与实现-Design and implementation of FPGA chip based on the chord music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4732362
    • 提供者:王策
  1. _musicplayer

    0下载:
  2. 简单音乐播放器,利用FPGA上蜂鸣器进行发声。-Simple music player, use the FPGA buzzer sounded.
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-26
    • 文件大小:320761
    • 提供者:卓亚鸿
  1. musicplay_v

    0下载:
  2. FPGA下实现的音乐播放程序,实现播放一段小音频-a music play program in FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6176
    • 提供者:程伟
  1. www.xuehi.com_3652859602

    0下载:
  2. 基于fpga和Quartus II的音乐播放器vhdl程序-Based on Quartus II fpga and vhdl music player program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-25
    • 文件大小:5459968
    • 提供者:
  1. music_vhdl

    0下载:
  2. 基于fpga和Quartus II的音乐播放器vhdl程序-Based on Quartus II fpga and vhdl music player program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-25
    • 文件大小:5601280
    • 提供者:
  1. VHDL_music

    0下载:
  2. 运用VHDL基于FPGA的music逻辑控制,运用状态机对音乐播放进行控制,实现音乐的切换,播放,暂停等功能。-VHDL music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5141949
    • 提供者:YH
  1. 1802-bell-liangzhu

    0下载:
  2. 基于FPGA的音乐播放器,采用VHDL语言-FPGA-based music player, using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:442876
    • 提供者:wang
  1. module-song2

    0下载:
  2. xilinx VERILOG fpga BASYS2 音乐单次播放实现-xilinx VERILOG fpga BASYS2 music single player to achieve
  3. 所属分类:Other systems

    • 发布日期:2017-04-30
    • 文件大小:12577
    • 提供者:秦跌
  1. beamformingmusicbs3

    0下载:
  2. 随着电磁环境的日益恶化,以及低检测概率、低截获概率等通信技术的广泛应用,往往需要 在较大的带宽内同时对多个信号进行处理,才能够在大量信号中找到有用信号,因此对空间谱估计算法的时效性也提出了更高的要求。本文研究了空间谱快速算法及 实现问题,通过改进算法减少计算量,并合理利用硬件平台,将快速测向算法在FPGA+DSP平台上联合实现。本文的主要内容如下:   1、研究了基于直线阵的空间谱估计快速算法。针对MUSIC等测向算法大多需要特征分解和谱峰搜索,计算量较大的问题,给出了一种基于传播算子的Root
  3. 所属分类:Special Effects

    • 发布日期:2017-04-12
    • 文件大小:1031
    • 提供者:maomaoyu
  1. VGA

    0下载:
  2. 基于FPGA的VGA动态显示设计,采用Verilog语言,只要实现VGA动态地显示图片,还有花纹,棋盘,以及按键控制音乐的声响等等。。。。有需要的就下来看看吧。-FPGA-based VGA display dynamic design, using Verilog language, as long as the VGA achieve dynamic display pictures, as well as patterns, board, and a key to control musi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10878446
    • 提供者:jav
  1. bishe_VGA

    0下载:
  2. 基于FPGA的VGA动态显示,有花纹,棋盘,以及图像的显示。还有音乐的播放。采用verilog语言-FPGA-based VGA display dynamic, with patterns, checkerboard, and the image is displayed. And music playback. Using verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1414764
    • 提供者:jav
  1. music_player

    0下载:
  2. 基于d builder的音乐播放器的设计;FPGA与matlab联合编程;-Dsp builder based music player design FPGA and matlab joint programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2124881
    • 提供者:程序猿
  1. musicplayer

    1下载:
  2. 基于FPGA的音乐播放器设计。能播放3首乐曲,播放过程可随时暂停或续播,可调C调与G调,音量可控制,可手动切换歌曲。-FPGA music player based on. Can play three songs during playback to pause or resume playback, adjustable C and G tune tone, volume can be controlled manually switch songs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2768258
    • 提供者:God_Paine
  1. EDA1_MusicCalculator

    5下载:
  2. 音乐计算器,可实现999以下加减法及与非运算功能,并能够播放两段音乐,可下载到FPGA板子上实现。-Music Calculator
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:28337
    • 提供者:戚若玫
  1. zx3016_yinyuebofang

    0下载:
  2. vhdl编写的音乐播放器,能够在fpga开发板上运行,内置了几首歌曲,能够播放-vhdl written music player that can run in the fpga development board, built a few songs, can play
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2384866
    • 提供者:朱翔
  1. verilog

    6下载:
  2. 用fpga制作一个音乐播放器,此为浙江大学信电系fpga教程大实验成果。-Use fpga make a music player, this is the letter Electrical Zhejiang University fpga tutorial big experiment results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-21
    • 文件大小:36503107
    • 提供者:陈捷润
  1. buzzer

    0下载:
  2. 采用FPGA编写程序控制蜂鸣器,实现音乐播放-Using FPGA program to control the buzzer to achieve music playback
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-05
    • 文件大小:221891
    • 提供者:vinolong
  1. VHDLfmq

    0下载:
  2. FPGA驱动蜂鸣器,vhdl语言,蜂鸣器奏乐-FPGA, vhdl language, buzzer music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:133242
    • 提供者:刘胜毅
  1. Verilog-fmq

    0下载:
  2. FPGA驱动蜂鸣器,Verilog语言,蜂鸣器奏乐-FPGA driver buzzer, Verilog language, buzzer music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:136078
    • 提供者:刘胜毅
  1. Songer

    0下载:
  2. 梁祝音乐演奏,用fpga器件驱动小扬声器构成一个乐曲演奏电路(Butterfly Lovers music performance)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:502784
    • 提供者:执书仗剑
« 1 2 3 4 5 6 78 »
搜珍网 www.dssz.com