CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rtl

搜索资源列表

  1. FlashFS

    0下载:
  2. This is an RTL Flash File System example. The data captured from the serial interface is stored to a file. You can read the file, rename it or delete it from the flash. You can also display the file directory list.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-28
    • 文件大小:180224
    • 提供者:zackzaks
  1. lab1 Vivado Design Flow

    0下载:
  2. 适用于对verilog语言的初步学习,本文本就对RTL的编写,功能仿真,实现,布线,综合,以及生成比特流等环节进行了初步的描述。适合初学者学习。(For the preliminary study of Verilog language)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:1459200
    • 提供者:小樊
  1. RTL8723BU

    1下载:
  2. rtl 8723bu驱动代码,包含蓝牙及wifi(softap sta bluetooth)
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:13427712
    • 提供者:jerom
  1. Master SPI的Verilog源代码(包括文档 测试程序)

    0下载:
  2. SPI接口的从机实现(利用verilog HDL语言)(Slave implementation of SPI interface (using Verilog HDL language))
  3. 所属分类:串口编程

  1. AHB_SMSS

    0下载:
  2. ahb single master single slave rtl design
  3. 所属分类:文章/文档

    • 发布日期:2018-01-05
    • 文件大小:64512
    • 提供者:kuchnai
  1. eetop.cn_simple_spi

    0下载:
  2. spi 模块代码 RTL verilog(spi rtl code)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:43008
    • 提供者:harrymao
  1. pipelined_fft_128_latest.tar

    0下载:
  2. RTL IMplementaion for the project
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:217088
    • 提供者:Unnam
  1. spi_verilog_master_slave_latest.tar

    0下载:
  2. spi 的verilog rtl 代码, 包括整体仿真环境,测试码等(spi master or slave verilog rtl code)
  3. 所属分类:其他

    • 发布日期:2018-04-18
    • 文件大小:3072
    • 提供者:jekky888888
  1. 02047389

    0下载:
  2. 蓝牙的一个ip RTL 核,不知道对大家有没有用?谢谢,()
  3. 所属分类:Windows编程

    • 发布日期:2018-04-19
    • 文件大小:4096
    • 提供者:YLdlngg&2374
  1. rtl

    0下载:
  2. 基于S10新品的2x2矩阵乘模块,附带双精度的乘法,除法ip核(2x2 matrix multiplication module based on S10 new product, with double precision multiplication, division IP kernel)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:590848
    • 提供者:Rdddd
  1. rtl8188eu_20160706

    0下载:
  2. 该驱动可以直接在全志A13平台上跑的WIFI驱动 型号是rtl 8188eus(The driver can run directly on the full A13 platform and the WIFI driver model is RTL 8188eus)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2018-04-20
    • 文件大小:14690304
    • 提供者:九个太阳1
  1. REALTEK WIFI DRIVER

    1下载:
  2. REALTEK WIFI DRIVER chipset RTL8188EUS,RTL8189ES
  3. 所属分类:驱动编程

    • 发布日期:2018-02-22
    • 文件大小:13441209
    • 提供者:stevenweng
  1. Synopsys SCL 10.9.3

    1下载:
  2. 后端综合软件design compiler将verilog源码,RTL文件转变成电路并实施优化
  3. 所属分类:其它

  1. ambartl

    0下载:
  2. amba总线的rtl代码,仿真用,不可综合。(AMBA bus RTL code, simulation, can not be integrated.)
  3. 所属分类:微处理器开发

  1. RTL8812AU_linux_v4.3.20_16317_20160108

    2下载:
  2. RTL88x2 wifi无线网卡驱动,适用于linux2.6.x 3.x平台,使用平台指定交叉编译工具编译即开使用,编译为ko文件(The RTL88x2 wifi wireless card driver is applicable to the linux2.6. X 3. X platform, which USES the platform to specify the cross-compilation tool to compile and use, and compiles the
  3. 所属分类:Linux/Unix编程

    • 发布日期:2018-04-30
    • 文件大小:24480768
    • 提供者:孑风
  1. 8051

    0下载:
  2. The resource code of The 8051 microcontroller is member of MCS-51 family, originally designed in the 1980's by Intel. The 8051 has gained great popularity since its introduction and is estimated it is used in a large percentage of all embedded system
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:5686272
    • 提供者:caop
  1. si四位加法器

    0下载:
  2. 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:28672
    • 提供者:小柠
  1. modol

    0下载:
  2. 蓝牙的一个ip RTL 核,不知道对大家有没有用?谢谢,()
  3. 所属分类:Windows编程

    • 发布日期:2018-05-03
    • 文件大小:4096
    • 提供者:AOYJ%21939894
  1. 8051Core

    0下载:
  2. 8051 Core Verilog RTL IP Code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1597440
    • 提供者:richman
  1. rtl

    1下载:
  2. 实现AD7606数据采集,基于xilinx的6系列(Realization of AD7606 data acquisition)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:17408
    • 提供者:歌不尽江湖
« 1 2 ... 19 20 21 22 23 2425 »
搜珍网 www.dssz.com