CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vga picture

搜索资源列表

  1. VGASWITCHPICTURE

    0下载:
  2. Verilog语言编写,VGA显示图片自动切换程序。图片显示为哆啦a梦的多幅图片切换。通过字符显示图像。-Verilog language, VGA display picture automatically switching program. Pictures appear as a dream duo toggle multiple images. Through the character display images.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4407967
    • 提供者:windy
  1. CD1_PHOTO_ABLUM(1280)

    0下载:
  2. 在EP3C16 fpga上实现了数码相册,可以从SD卡上读取jpeg图片,并进行解码,最后在VGA显示器上显示1280*1024的图片。-In EP3C16 fpga to achieve a digital photo album that can be read from the SD card jpeg picture and decoding, and finally displayed on a VGA monitor 1280* 1024 picture.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-03
    • 文件大小:6520832
    • 提供者:恣意
  1. vga_bmp

    0下载:
  2. 基于VHDL语言读取BMP格式文件,驱动VGA接口在显示屏上显示该图片,并在程序中可控制改图片在显示屏上浮动-Based on the VHDL language to read BMP format files, drives, VGA interface on the screen dynamically displays the picture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2238667
    • 提供者:朱杞柠
  1. pic_vga

    0下载:
  2. 一个自己做的VGA工程,主要是在vga屏幕上显示一张图片-A VGA to do their own projects, mainly display a picture on the screen vga
  3. 所属分类:software engineering

    • 发布日期:2017-05-26
    • 文件大小:9205633
    • 提供者:陈华
  1. vga_pic

    0下载:
  2. 利用verilog编写的程序,并且实例化了一个rom,将mif文件初始化在rom中,可以实现在vga上显示图片。文字信息等,十分实用。-Use programs written in verilog, and instantiates a rom, rom the mif file initialization, you can achieve the vga display picture. Text information, very useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1267396
    • 提供者:灵湖仙梦
  1. VGA_SYNC

    0下载:
  2. VGA_SYNC is a part of VGA controller, base d on Spartan 3 chip, use for show bar color picture in screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:569
    • 提供者:yang gao
  1. VGA_800X600@72Hz

    0下载:
  2. 在显示器上显示一张800*600图片,对学习vga驱动的初学者很有启发-800* 600 display a picture on the monitor, vga driver for beginners to learn very enlightening
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:1814837
    • 提供者:许昌
  1. VGA_flyinglogo

    0下载:
  2. FPGA VGA 使用FPGA开发板在显示屏上显示一个移动的logo,当图片触碰边缘时会自动反弹。-FPGA VGA Use FPGA development board displaying a moving logo, when touching the edge of the picture on the screen will automatically bounce.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3463489
    • 提供者:mmhy
  1. VGA_Test_EPM240T

    0下载:
  2. VGA Test-Picture for EPM240T
  3. 所属分类:Special Effects

    • 发布日期:2017-04-15
    • 文件大小:5074
    • 提供者:Max Zehetmayer
  1. vga_driver

    0下载:
  2. 基于EP3C16的VGA显示驱动工程。时钟40M,图片存储在FPGA内部的ROM中,VGA显示器分辨力为800*600*60Hz,存储图片需要800*600点(bit),由于EP3C16的ROM不够大,ROM中存储内容为8bit*30000;显示器内容为上下半屏分别显示ROM中的内容,显示图片相同。ROM中的内容由地址线的变化来控制。-Display driver works based EP3C16 of VGA. Clock 40M, pictures stored in the ROM o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11255906
    • 提供者:郭俊媛
  1. flappybird

    0下载:
  2. 这是我练手时写的一个小游戏,是基于flappybird游戏原理制作的,用硬件完成其功能。主要用Verilog语言完成功能描述,通过ps2键盘的空格键控制飞翔,在VGA上进行显示。本工程已在basys2实验开发板上进行验证,画面略显粗糙,见谅。-This is what I wrote when practiced hand of a little game, is based on the principle of making flappybird game, with the hardwar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2261029
    • 提供者:wei
  1. VGAdisplay

    0下载:
  2. 本系统编写了VHDL代码实现对对VGA协议的控制,可以在显示屏上显示分辨率为640*480,刷新频率为60Hz的彩条及彩色图片-The system is written VHDL code for VGA protocol for control can be displayed on the display screen with a resolution of 640* 480, refresh rate of 60Hz and a color picture of color bar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12523662
    • 提供者:孙佳贝
  1. VGA_Qin

    0下载:
  2. VGA实验中,根据要求,动态显示图片,图片的动态效果是触及屏幕反弹 -VGA experiment, according to the requirements, dynamic display picture, dynamic picture of the effect of the screen is touched rebound
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10385892
    • 提供者:钱学森
  1. TIMMING_LCD

    0下载:
  2. VGA时序描述硬件图片的方法,适用于需要高速显示图片的场合。-VGA timing hardware picture descr iption method, try to ask for a quick picture of the occasion.
  3. 所属分类:MPI

    • 发布日期:2017-04-13
    • 文件大小:2508
    • 提供者:yaoqinghua
  1. LAB22

    0下载:
  2. 应用verilog编程语言控制VGA显示屏显示一幅图片。-Application verilog programming language control VGA display shows a picture.
  3. 所属分类:Picture Viewer

    • 发布日期:2017-05-11
    • 文件大小:2056443
    • 提供者:李鸣
  1. CAR_LI2

    1下载:
  2. DE1-SOC实验开发板和Verilog HDL语言的交互式程序作品,选择避障小车作为课程设计题目,并根据选题制定了如下设计需求: 1.能实现基本的避障小车功能,即躲避障碍,变速,计分,计时显示游戏开始、进行和结束画面; 2.能实现人机交互功能,玩家可通过外接键盘或DE1-SOC开发板自带按键和开关操作小车转向; 3.能通过VGA在显示屏中显示,并且能达到5Hz的刷新频率; 4.能实现自定义小车和障碍物皮肤的功能;(DE1-SOC experiment development board
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-03-24
    • 文件大小:21147648
    • 提供者:Sven111
  1. sobel

    1下载:
  2. 由Verilog编写在FPGA实现sobel算法应用于图像边缘检测,工程文件可在quartus13.1以上版本打开;工程使用到ram、fifo、pll三种ip核,design文件夹下包含ram、fifo、vga控制以及串口收发和sobel算法模块,sim和doc文件夹下分别包含modelsim的仿真模块和仿真结果;测试时将200*200分辨率的图片用matlab文件夹下的matlab脚本压缩、二值化,再将生成文件中数据用串口发给FPGA,边缘检测结果会通过VGA输出。(Written by Ve
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-14
    • 文件大小:10222592
    • 提供者:丶大娱乐家
« 1 2»
搜珍网 www.dssz.com