CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - XOR

搜索资源列表

  1. logicCalc

    0下载:
  2. 因为最近要做协议,经常用到逻辑计算的功能,就编写了一个功能比较简单的逻辑计算器 可以实现异或、逻辑与、逻辑或的计算 分十进制和十六进制输入两种 输出也分十进制和十六进制 顺带附上源码,VB编写^_^-To be done because of the recent agreement, frequently used functions of the logic of the calculation, the preparation of a relatively simple
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-02
    • 文件大小:7549
    • 提供者:方亮
  1. PolyBoollily

    1下载:
  2. 一个很强悍的做多边形布尔运算的小程序,可以实现多边形的交、并、差和异或运算,GIS的朋友有福了。-A very powerful polygon Boolean operations to do a small program can be achieved polygon intersection, and, worse, and XOR, GIS friend blessed.
  3. 所属分类:GDI/图象编程

    • 发布日期:2012-11-05
    • 文件大小:73774
    • 提供者:lily
  1. RISC-CPU

    1下载:
  2. 用FPGA实现一个简易的CPU,采用精简指令集结构,每一条指令有16bit,高三位为指令操作数,后13位为地址,该CPU能实现8种指令操作,分别有HLT(空一个中期)ADD(相加操作)SKZ(为零跳过)AND(相与操作)XOR(异或操作)LDA(读数据)STO(写数据)JMP(无条件跳转指令)。cpu包括8个部件,分别为时钟发生器、指令寄存器、累加器、算术逻辑单元、数据控制器、状态控制器、程序计数器、地址多路器,各个部件之间的相互操作关系由状态控制器来控制,程序指令存放在初始rom中,本例程存放
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3147284
    • 提供者:vice
  1. jiami

    0下载:
  2. 有多种加密算法,如:字节取反、循环异或、码变换法、CSED、D3DES。-There are a variety of encryption algorithms, such as: anti-byte check, recycling XOR, code transformation, CSED, D3DES.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-15
    • 文件大小:62477
    • 提供者:feng
  1. xhyh

    0下载:
  2. 循环异或-XOR cycle
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-24
    • 文件大小:12952
    • 提供者:feng
  1. Awenben

    0下载:
  2. A盟密本-----不错的文本加密源代码 =================================== 产品特点 ~~~~~~~ ================================= 1、文本加密 使用简单的XOR技术加密,不过是很容易破解的 2、获取C盘序列号变成注册码(压缩文件里面附上算号器) 3、通过百度搜索 4、多语言支持 5、文字字体、颜色选择 6、关联文件,关联*.UOD(此项有BUG,欢迎高人指出) -A UN
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-19
    • 文件大小:5899264
    • 提供者:独萧
  1. imagefilter

    0下载:
  2. 這是用windows programing架構下的程式,主要就是作影像的濾波器,可以input不同的參數來做高通低通的效果,還能顯示圖片的RGB三個值,還能將兩張圖片作and or xor邏輯運算-This is with windows programing framework program, mainly for the image filter, you can input different parameters to make high-pass low-pass effect, b
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1812441
    • 提供者:潘宏
  1. ANN_BP

    0下载:
  2. BP网络解决异或问题的实例,有初始值和最终结果的显示。本网络结构为三层BP网络,各层神经元个数可变,更改相关#define即可。(注释较详细,主函数中调用的函数和矩阵类都定义在文件function.h中)-BP network to solve XOR problem instance, there are initial values and final results are displayed. The BP network structure for the three-tier net
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-31
    • 文件大小:11101
    • 提供者:Sandy
  1. chuankoujingling

    0下载:
  2. 所有的单片机都会涉及到232 或者485通讯,而通讯中又不可避免的出现错误.本例就给出了一个现成的校验软件.完成串口通讯,并且进行crc,累加和,异或和等效验结果-All of the single-chip will be 232 or 485 relating to communications, and communications also inevitable error. In this case on a given off-the-shelf software validatio
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:309065
    • 提供者:haifengmofan
  1. rightrotate

    0下载:
  2. a XOR b> a,然后a XOR b< b,and both a and b are dependent data-a XOR b
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:840
    • 提供者:laSiA
  1. Bp_net

    0下载:
  2. 测试神经网络异或问题,只是一个很小的程序,希望大家能多多指教。-Testing neural network XOR problem, only a small fraction of the procedure, I hope we can all the exhibitions.
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-05-15
    • 文件大小:42036
    • 提供者:罗瑞
  1. bpxor

    0下载:
  2. 用bp网络对xor问题的解析,比较基础,希望对大家有帮助-Xor network with bp analytical, comparative basis, in the hope that we have to help
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-29
    • 文件大小:987
    • 提供者:魏群
  1. DE2_VGA3

    0下载:
  2. The VGA example generates a 320x240 diffusion-limited-aggregation (DLA) on Altera DE2 board. A DLA is a clump formed by sticky particles adhering to an existing structure. In this design, we start with one pixel at the center of the screen and allow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1276567
    • 提供者:Donghua Gu
  1. alu

    0下载:
  2. 用VHDL实现8种运算的ALU,带鱼不带符号的加减乘除,与或异或和求反-Use VHDL to achieve the eight kinds of computing ALU, hairtail unsigned addition and subtraction, multiplication and division, with or XOR and seek anti-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:1238
    • 提供者:helen
  1. mega48_HEF4070_lcddriver

    0下载:
  2. MEGA48与异或门驱动LCD+红外控制原理图 eagle编译-MEGA48 XOR gate driver with LCD+ Infrared control schematic compiler eagle
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:55707
    • 提供者:Jeson
  1. bpnn1

    0下载:
  2. 一个测试 后馈神经网络的程序, 解决XOR 问题-After a test procedure for feed-forward neural network to solve XOR problem
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-04
    • 文件大小:13341
    • 提供者:李成华
  1. DelphiEnCrypt

    0下载:
  2. Delphi开发的加密解密源代码例子,利用了异或运算。-Delphi development of encryption and decryption source code examples, using the XOR operator.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-30
    • 文件大小:178307
    • 提供者:kickthc
  1. EncryptByFile(clientUsing)

    0下载:
  2. VC6写的文件加密方法,其实这个比较简单,就是通过简单的异或运算进行加解密操作!13944902227-VC6 write file encryption methods, in fact, this relatively simple, that is, through a simple XOR encryption and decryption operations to carry out the operation! 13944902227
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:91246
    • 提供者:柳彦春
  1. EncryptByHarddisk(clientUsing)

    0下载:
  2. VC6写的利用硬盘号加密的方法,其实这个比较简单,就是通过简单的异或运算进行加解密操作!13944902227-VC6 written using the method of hard disk encryption No. In fact, this relatively simple, that is, through a simple XOR encryption and decryption operations to carry out the operation! 139449022
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-10
    • 文件大小:63512
    • 提供者:柳彦春
  1. EncryptByNetCard(clientUsing)

    0下载:
  2. VC6写的利用网卡加密的方法,其实这个比较简单,就是通过简单的异或运算进行加解密操作!13944902227-VC6 written card encryption method to use, in fact, this relatively simple, that is, through a simple XOR encryption and decryption operations to carry out the operation! 13944902227
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-10
    • 文件大小:42927
    • 提供者:柳彦春
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 32 »
搜珍网 www.dssz.com