CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - count

搜索资源列表

  1. count.tar

    0下载:
  2. 提取代码的代码行数,用于软件可靠性测试,对于提高确定软件可靠性及发行时间有个可靠的依据-the program is used to abstract the fecture of other program such as the count of the line
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-02
    • 文件大小:623
    • 提供者:xiaojingjing
  1. count

    0下载:
  2. 开发环境为KEIL+PROTEUS 实现6位频率计数,6位共阴数码管显示。带有仿真图和源代码-KEIL+ PROTEUS development environment for the realization of six frequency count, six common cathode LED display. Diagrams and source code with a simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:320806
    • 提供者:李生
  1. count

    1下载:
  2. 吉大短学期CPLD实习程序 可逆10 进制计数器,用1 位拨码开关进行加减控制:输入为0 时进行加计数,当输入为1 时进行减计数;用1 位拨码开关进行同步清零控制:输入为0 时清零,输入为1时正常计数。计数结果用数码管显示-Chittagong short term internship program CPLD reversible binary counter 10, with an addition and subtraction DIP switch control: when th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-14
    • 文件大小:139264
    • 提供者:吴琦轩
  1. car-count

    0下载:
  2. 基于动态模板检测运动物体,检测高速公路上每个车道上通过车辆数目-Detection of moving objects based on dynamic template, testing each lane on the highway by the vehicle titles
  3. 所属分类:Graph Recognize

    • 发布日期:2017-04-04
    • 文件大小:30477
    • 提供者:飞鸟
  1. count

    0下载:
  2. 1.用VHDL设计具有清除端、使能端,计数范围为0~999的计数器,输出为8421BCD码; 2.用VHDL设计十进制计数器(BCD_CNT)模块、七段显示译码器电路(BEC_LED)模块和分时总线切换电路(SCAN)模块。 3.用MAX+plusⅡ进行时序仿真。 -1. VHDL design with a clear end to end so that the count range of 0 to 999 in the counter, the output is 8421B
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:208546
    • 提供者:小白
  1. cell-count

    0下载:
  2. 本程序使用C语言进行编程,实现对血液当中红细胞的计数功能。附有资料及应用程序。很有借鉴意义。-This program USES C programming language, to achieve the count function of blood red, With material and application.It is of great significance
  3. 所属分类:Algorithm

    • 发布日期:2017-04-07
    • 文件大小:781963
    • 提供者:Sunny
  1. vinaora_visitors_counter_2.0

    0下载:
  2. joomla count visitor
  3. 所属分类:Other systems

    • 发布日期:
    • 文件大小:381472
    • 提供者:chomnit
  1. I-count-math-score

    0下载:
  2. 数学口算记分.b程序课题,希望对各位有用 -I count math score. B process issues, seek to help
  3. 所属分类:Algorithm

    • 发布日期:2017-05-11
    • 文件大小:2217473
    • 提供者:军军
  1. count

    0下载:
  2. visual C++开发环境下实现count编程-visual C++ of count
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:18370
    • 提供者:weiqian
  1. topological-sort-count

    0下载:
  2. 本程序使用C语言来实现拓扑排序算,希望对别人有帮助!-This program uses the C language to implement topological sort count, want to help others!
  3. 所属分类:Data structs

    • 发布日期:2017-04-01
    • 文件大小:1257
    • 提供者:代伟
  1. code2

    0下载:
  2. try to remove the white blood cell and after that i try to segment and count the red blood ce-try to remove the white blood cell and after that i try to segment and count the red blood cell
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:824
    • 提供者:asraf
  1. Use-the-STM32-timer-

    2下载:
  2. 使用STM32的定时器进行输入脉冲的计数代码-Use the STM32 timer carries on the count of input pulses code
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-24
    • 文件大小:244476
    • 提供者:陈永亮
  1. count

    0下载:
  2. 模可变计数器设计 (1) 设计设置一位控制位M,要求M=0:模23计数;M=1:模109计数。 (2) 计数结果用静态数码管显示,显示BCD码。 (3) 给出此项设计的仿真波形 -Variable counter mold design (1) design set a control bit M, requires M = 0: mode 23 count M = 1: mode 109 count. (2) counts with static digital dis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3606
    • 提供者:guo
  1. count

    0下载:
  2. it is an cavr program to count and need some electronic experience.-it is an cavr program to count and need some electronic experience.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1024
    • 提供者:mahdip
  1. count-for-6-data

    0下载:
  2. count data entry for 6 data and convert to 32bit floating point in verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:616
    • 提供者:hafiez
  1. count-reciever

    0下载:
  2. CountSend application: send count packet every second, and display value on LEDs. @file CountSend.cs CountSend.cs,v 1.5 2007/09/24 19:13:13 - CountSend application: send count packet every second, and display value on LEDs. @fi
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:967
    • 提供者:iftollotfi
  1. count-send

    0下载:
  2. CountSend application: send count packet every second, and display value on LEDs. @file CountSend.cs CountSend.cs,v 1.5 2007/09/24 19:13:13 - CountSend application: send count packet every second, and display value on LEDs. @f
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:801
    • 提供者:iftollotfi
  1. VHDL-the-count

    0下载:
  2. 利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发 时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数-Use of VHDL hardware descr iption language design a 0 ~ 9999 addition counter. According to a certain frequency of the trigger The clock, counter add count, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:648225
    • 提供者:sunhuiping
  1. count-the-number-of-the-words

    0下载:
  2. 为一个字符串或者一段字符串(包括多个字符串)定义一个类Word,这个类至少包含2个成员变量,1是这个字符串(用指向动态数组的指针表示),2是字符串中单词的个数(若还需要其他成员变量,自己定义)。-how to count the number of the word
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1554766
    • 提供者:gao
  1. medical-sale-count-management

    0下载:
  2. medical sale count management医药营销系统内务控制与管理.rar-medical sale count management.rar,it is valueable to download
  3. 所属分类:医药行业

    • 发布日期:2017-03-25
    • 文件大小:19
    • 提供者:王敏
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com