CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - cpld fpga

搜索资源列表

  1. FPGA

    0下载:
  2. FPGA/CPLD的实体教程,结合相关开发工具学习。-FPGA/CPLD Tutorial entity, combined with study-related development tools.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:209633
    • 提供者:yanxinming
  1. I2C

    0下载:
  2. I2C core code in Hardware descrption language so as enable a cpld/fpga to be programmed for specific customized applications of our requirment & make the pcb work to meet the application requirements.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3290
    • 提供者:prashant
  1. I2Cslave1

    0下载:
  2. I2C slave for FPGA and CPLD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1369
    • 提供者:DAVI
  1. A01

    0下载:
  2. 利用XC9572-TQFP100(Xilinx CPLD)制作的多功能CPLD/FPGA的ISP下载线源代码及线路图。可用来烧录Xilinx,Lattice,Altera等厂家的CPLD/FPGA.-Using XC9572-TQFP100 (Xilinx CPLD) produced by multi-CPLD/FPGA download cable ISP in the source code and circuit diagram. Burning can be used to Xilin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3234991
    • 提供者:李德明
  1. FPGA

    1下载:
  2. 基于FPGA的数字频率计的设计11利用VHDL 硬件描述语言设计,并在EDA(电子设计自动化) 工具的帮助下,用大规模可编程逻辑器件(FPGA/ CPLD) 实现数字频率计的设计原理及相关程序-FPGA-based design of digital frequency meter 11, the use of VHDL hardware descr iption language design, and EDA (electronic design automation) tools with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:665994
    • 提供者:董晨晨
  1. pc

    0下载:
  2. 键盘和USB与PC机的接口程序,适用于CPLD FPGA设计中,与上位机的连接与通信-Keyboard and USB and PC-interface program for the design of CPLD FPGA with PC connectivity and communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:166171
    • 提供者:mengzi
  1. timing_design_of_fpga

    0下载:
  2. 主要是,fpga,cpld设计时的时序设计需要注意和考虑的问题-Mainly, fpga, cpld design design need to pay attention to the timing of the issue and consider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:967293
    • 提供者:沧海一笑
  1. EXP-EPM3128_3256

    0下载:
  2. cpld/fpga芯片exp-epm3128/3256的详细说明,适用于quartus以及maxplus软件-cpld/fpga chip exp-epm3128/3256 a detailed descr iption of the software for quartus and maxplus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:972064
    • 提供者:Yolanda
  1. jtagdownload

    0下载:
  2. alter cpld下载线制作方法集合,自己做就行,不用花40元去买了-alter cpld download cable production method of collection, make their own on the line, do not have to spend 40 yuan to buy a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2455600
    • 提供者:高兵
  1. I2C-CPLD

    0下载:
  2. I2C总线通讯的CPLD实现,包括详细的设计方法及源程序。-I2C总线通讯的CPLD实现
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:270286
    • 提供者:nmh
  1. emny

    0下载:
  2. cpld/fpga vhdl语言rom 引用的简单例子-cpld/fpga vhdl language rom cited a simple example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:400376
    • 提供者:chen
  1. usb_blaster

    0下载:
  2. usb下载线usb_blaster,用于cpld\fpga等,刚刚调试完-usb download cable usb_blaster, for cpld \ fpga and so on, had just finished debugging. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2793502
    • 提供者:张东
  1. VHDL_Data

    0下载:
  2. 潘松的VHDL使用教程,已经制作书签,阅读方便,是学习VHDL新手的必备资料,FPGA/CPLD开发者可以参考的资料,-Pinson use of VHDL tutorial have produced bookmarks, reading easy to learn the essential information on VHDL novice, FPGA/CPLD developers can refer to the information,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:12188554
    • 提供者:
  1. AlteraFPGACPLD

    0下载:
  2. 《ALTERA FPGA/CPLD 设计》附带光盘,内有书中案例的源代码及使用说明。-" ALTERA FPGA/CPLD Design" with CD case containing the book' s source code and instructions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3464946
    • 提供者:天一生水
  1. spi.tan

    0下载:
  2. vhdl spi cpld fpga cofiguration
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5943
    • 提供者:mohamad
  1. MCU_V_PWM_16bit

    0下载:
  2. 单片机通过总线,将占空比和频率送到CPLD/FPGA中,并控制PWM输出.采用Verilog HDL语言编写。-Microcontroller by bus, the duty cycle and frequency sent to the CPLD/FPGA in, and control the PWM output. Using Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:255339
    • 提供者:zhouming
  1. FPGA_design

    1下载:
  2. Altera+FPGA/CPLD设计基础篇和高级篇.pdf,详细讲解FPGA的设计过程及应用-Altera+ FPGA/CPLD Design Basics and advanced articles. Pdf, explain in detail the design process and application of FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-22
    • 文件大小:43473456
    • 提供者:王光树
  1. miniuart2

    0下载:
  2. 用VHDL在CPLD/FPGA上实现与PC机的RS232通信-This UART (Universal Asynchronous Receiver Transmitter) is designed to make an interface between a RS232 line and a wishbone bus, or a microcontroller, or an IP core. It works fine connected to the serial port of a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2588368
    • 提供者:李涛
  1. RS232_FIR

    0下载:
  2. Quartus II was a development tool of CPLD / FPGA by Altera Company. Quartus II provides a fully integrated circuit structure and has nothing with the development package environment, it has all the features of digital logic design, it is including: a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:202597
    • 提供者:jay
  1. VHDL-CPLD

    0下载:
  2. 程序-自动售货机 基于CPLD、FPGA的程序-Program- the vending machine based on CPLD, FPGA program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:653
    • 提供者:孙锁东
« 1 23 4 5 6 7 8 9 10 ... 24 »
搜珍网 www.dssz.com