CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - dds VHDL

搜索资源列表

  1. 生成4种方式的DDS输出的读表程序的VHDL源代码程序

    0下载:
  2. 生成4种方式的DDS输出的读表程序的VHDL源代码程序.rar
  3. 所属分类:VHDL编程

    • 发布日期:2010-12-02
    • 文件大小:180149
    • 提供者:limiao_0530
  1. DDS.rar

    0下载:
  2. 实现函数波形发生器的功能,内有用自己编的源代码实现的,也有用quartus的IP核实现的。,The realization of the function waveform generator function, useful for their own realization of the source code, it also uses the IP core quartus achieved.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1251726
    • 提供者:bluesky428
  1. ask.rar

    0下载:
  2. 用简单的DDS方法产生的波形来调制ASK以及FSK,使其调制更简单,The DDS with a simple way to generate the waveform modulation ASK, PSK, FSK, make it more simple modulation
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:105121
    • 提供者:JINJIN
  1. EDA.rar

    0下载:
  2. 这里边有EDA设计常用模块的源代码,FFT,DDS PS2_keyboard,VGA等,有学FPGA的就参考一下吧,Here the design of commonly used modules have EDA source code, FFT, DDS PS2_keyboard, VGA and so on, have places on the FPGA reference yourself
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:208841
    • 提供者:li
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. cordicDDS

    0下载:
  2. Cordic算法实现DDS的Verilog 源码,14位精度,非常实用的。-DDS algorithm Cordic the Verilog source code, 14-bit accuracy, very practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:7592
    • 提供者: 王王
  1. costas的verilog程序

    3下载:
  2. costas的verilog程序,包含乘法器,DDS,鉴相器,环路滤波器等模块-costas the verilog program, including multipliers, DDS, phase detector, loop filter modules
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-23
    • 文件大小:5957
    • 提供者:潇潇
  1. test_ad9852

    0下载:
  2. 使用FPGA来控制DDS信号的产生,从而达到高频信号产生的目的。使用的DDS芯片为AD9852,在QuartusII下编写。-Using the FPGA to control the DDS signal generation, so as to achieve high-frequency signal generation purposes. Use of DDS chip AD9852, in the QuartusII prepared.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:937
    • 提供者:
  1. AD9954_test

    1下载:
  2. AD公司DDS芯片AD9954的Verilog测试程序-VerilogHDL test program of DDS chip--AD9954 ,producted by AD company
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2068813
    • 提供者:ch
  1. DDS_VERILOG

    0下载:
  2. verilog dds 在发生正弦波时,很好的参考代码-verilog dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3011
    • 提供者:王洋
  1. singnal

    0下载:
  2. VHDL实现通用通信信号源,包括sin,cos,方波,三角波,BPSK,GMSK,ASK,16QAM等信号的产生以及DDS,PLL的VHDL系统代码-VHDL implementation of universal communication sources, including sin, cos, square, triangle, BPSK, GMSK, ASK, 16QAM and other signal generation and DDS, PLL system, the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1417
    • 提供者:张泽端
  1. 24_bit_register

    0下载:
  2. 自己使用VHDL语言编写的24位寄存器.主要用于DDS中-24bit_register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:54679
    • 提供者:wanghaosen
  1. AM

    1下载:
  2. FPGA内AM调制工程。内带调制波、载波生成。关键词:FPGA verilog AM DDS-AM modulation works within the FPGA. Within the band modulation wave generated carrier. Key words: FPGA verilog AM DDS
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-11
    • 文件大小:1687703
    • 提供者:baixiangzhou
  1. clk

    0下载:
  2. 现代电子系统课程设计 基于DDS技术利用VHDL设计并制作一个数字式移相信号发生器。 (1)基本要求: a.频率范围:1Hz~4kHz,频率步进为1Hz,输出频率可预置。 b.A、B两路正弦信号输出,10位输出数据宽度 c.相位差范围为0~359°,步进为1.4°,相位差值可预置。 d.数字显示预置的频率(10进制)、相位差值。 (2)发挥部分 a.修改设计,增加幅度控制电路(如可以用一乘法器控制输出幅度)。 b.输出幅度峰峰值0.1~3.0V,步距0
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-28
    • 文件大小:174787
    • 提供者:耳边
  1. sin125

    0下载:
  2. 用FPGA实现DDS的信号发生器(正弦波125kHz)-Using FPGA to achieve DDS signal generator (sine wave 125kHz)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:197270
    • 提供者:杜海明
  1. FPGADDS

    0下载:
  2. 基于FPGA的DDS信号发生器的简单实现。DDS(直接数字合成)是近年来迅速发展起来的一种新的频率合成方法。这种方法简单可靠、控制方便,且具有很高的频率分辨率和转换速度,非常适合快速跳频通信的要求。 -FPGA-based signal generator DDS simple to achieve. DDS (direct digital synthesis) is a rapidly in recent years developed a new method of frequency sy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:9898
    • 提供者:洪利平
  1. high_speed_tap8_DDS

    0下载:
  2. 用verilog编写的高速8路并行dds模块,用于与高速da(1ghz或以上)接口产生任意频率正弦波,模块已经经过工程验证,用于产品中。-Verilog prepared with high-speed 8-way parallel dds modules for use with high-speed da (1ghz or above) interface have any frequency sine wave, the module has been proof for the prod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4947
    • 提供者:yangyu
  1. vhdldds0000

    1下载:
  2. 采用fpga的hdl语言实现dds的信号发生器的设计,性能与传统相比明显提高。-Hdl language using FPGA implementation of the signal generator dds design, performance markedly improved compared with the traditional.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:172314
    • 提供者:李江
  1. FPGA_Examples

    0下载:
  2. 《FPGA嵌入式应用系统开发典型实例》-书的光盘资料,该资料是用VHDL语言编写,作者:叶淦华-" FPGA embedded applications typical example of system development" - the book' s CD-ROM, the information is written in VHDL, the author:叶淦China
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8141199
    • 提供者:LDP
  1. PSK

    0下载:
  2. 关于PSK调制与解调的VHDL程序及仿真-PSK modulation and demodulation on the VHDL procedures and simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:72849
    • 提供者:陈文诚
« 1 2 3 4 5 67 8 9 10 11 ... 16 »
搜珍网 www.dssz.com