CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - verilog 状态机

搜索资源列表

  1. data_check_hand_in

    0下载:
  2. 一个基于状态机的8位码流检测实现,Verilog语言,在ISE 10.1环境下编译通过。-A state machine-based 8-bit code stream detection to achieve, Verilog language, the ISE 10.1 environment compile.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:73741
    • 提供者:李长
  1. FSM

    0下载:
  2. 有限状态机,用Verilog语言,执行正确,仿真通过。-Finite state machine, with the Verilog language, the implementation of the right, simulation pass.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:339820
    • 提供者:uyuy0401
  1. rom_con_aa

    0下载:
  2. VERILOG 多线程控制程序,实现状态机控制ad采集-VERILOG multi-threaded control program, to achieve a state machine control ad acquisition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:537
    • 提供者:张彬
  1. statemachine

    0下载:
  2. RTL级verilog代码 用状态机实现 将输入数据写入16位寄存器,输出其除以7所得的余数(4位)-RTL-lever verilog code Using FSM to realize the following function:input the data into a 16bit register, divide it by 7, and output the 4-bit remainder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:897
    • 提供者:Gary
  1. traffic_light

    0下载:
  2. 用Verilog HDL语言写一个交通控制灯的状态机。十字路口,红绿灯,带倒计时功能,也可以自行变换亮灯时间。-Verilog HDL language used to write a traffic control light state machine. Intersections, traffic lights, with the countdown function, you can also change their own light time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1465798
    • 提供者:sunying
  1. caideng

    0下载:
  2. 这个程序是用verilog语言编写的彩灯的小程序,使用状态机来实现,可以实现多种花型,有具体的程序!-This program is written in verilog small lantern, the use of state machine, you can achieve a variety of flowers, there are specific procedures!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:439028
    • 提供者:欢欢
  1. chuzuche

    0下载:
  2. 本程序使用verilog语言编写的出租车计价系统,实现时距并计!主要用状态机来实现!-This program uses the taxi meter verilog language system, and taking into account the time-distance! State machine is mainly used to achieve!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1488360
    • 提供者:欢欢
  1. yinliao

    0下载:
  2. 本程序采用verilog语言编写实现仿真自动饮料机的功能,采用状态机来实现!-This procedure uses verilog language automatic beverage machine emulation capabilities, the use of state machine!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:246793
    • 提供者:欢欢
  1. state_machine

    1下载:
  2. 基于FPGA用VHDL编写的状态机控制步进电机.-Prepared by the state machine control VERILOG stepper motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:288390
    • 提供者:island
  1. STATE_MECHINE

    1下载:
  2. FPGA 状态机控制步进电机..verilog-FPGA state machine controlled stepper motor .. verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:301541
    • 提供者:island
  1. ledwatertest

    0下载:
  2. 一个用verilog 编写的流水灯程序,对于初学者比较有用,主要用于理解状态机转换。-Written in a flowing light with verilog program more useful for beginners, mainly for the understanding of the state machine transition.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:36313
    • 提供者:huangying
  1. verilogshiyansoure37

    0下载:
  2. verilog实验的基本程序,包括状态机、数码管、流水灯、蜂鸣器、点阵、键盘等等,超详细的程序、适合初学者-verilog basic experimental procedures, including the state machine, digital control, water lights, buzzers, dot matrix, keyboard, etc., super detailed procedures, suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:48450
    • 提供者:郑海
  1. State-machine

    0下载:
  2. 实现了一个简单状态机的转换功能,用Verilog语言。-State machine implements a simple conversion function, with the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:193467
    • 提供者:赵振
  1. cheweideng

    0下载:
  2. 用Verilog语言编写的车尾灯,用状态机来实现,3个LED显示左转,3个LED显示右转,6个灯显示刹车-Using Verilog language taillights, the state machine to achieve, three LED display left, three right LED display, six brake light display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:405453
    • 提供者:sky
  1. how-to-use-state-machine

    0下载:
  2. 三段式状态机的用法,对于想学习verilog及VHDL编程的人来说是必看的内容-The use of three-state machine, for those who want to learn verilog and VHDL programming is a must-see content people
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:266621
    • 提供者:ranshaoqiang
  1. three_machine_study

    0下载:
  2. verilog 三段式状态机的写法,很好的Pdf-verilog three-state machine is written, a good Pdf
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:83510
    • 提供者:书荣
  1. VerilogDesignand-test_PdfPCode

    0下载:
  2. Verilog 设计与验证源码+PDF,经典教程,对与RTL和状态机的理解有很大帮助,适合FPGA开发工程师。-Verilog design and verification source+ PDF, classic tutorial, and state machine understanding of RTL helps a lot, suitable for the FPGA development engineers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:14328112
    • 提供者:李康
  1. state-machine-design

    0下载:
  2. Verilog and VHDL状态机设计,内含源代码,希望对大家有所帮助。-Verilog and VHDL state machine design, including source code, we want to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:114544
    • 提供者:haby
  1. Integrator-comb_timing-state

    0下载:
  2. 积分梳状滤波器和时序状态机的Verilog语言描述,适合硬件描述初学者-Integrator-comb filter and timing the Verilog language to describe state machines, hardware descr iption suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1917
    • 提供者:李菲
  1. mealy_sequence

    0下载:
  2. 实现米粒状态机 用verilog语言实现状态机的过程-Implement a state machine with a grain of rice verilog state machine language course
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:142870
    • 提供者:陈娅娟
« 1 2 3 4 5 6 7 89 10 11 12 13 14 »
搜珍网 www.dssz.com