CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 下变频

搜索资源列表

  1. CIC_deci4.rar

    0下载:
  2. cic抽取滤波器ip核,用于射频采样数字下变频模块的核心数字信号处理部分.此ip核已经过ise10.2验证,CIC decimation by 4 filter,used in Direct RF sampling of GPS signal. the core dsp block in a frondend design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:31545
    • 提供者:mimidabuda
  1. digitaldown-conversion.rar

    0下载:
  2. FPGA实现数字下变频,仅供大家参考,希望有用。,Use FPGAto achieve digital down-convertion.For your reference, I hope it can be useful for you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:167877
    • 提供者:高青松
  1. ddc.rar

    2下载:
  2. 数字下变频器的matlab实现,一定的设计指标,可以用来知道vhdl程序设计,Digital Down Converter for matlab realized, certain design specifications that can be used to know VHDL Programming
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:2123
    • 提供者:杨斌
  1. DDC.rar

    4下载:
  2. verilog语言实现的数字下变频设计。 在ALTERA的QUARTUS ii下实现。实用,好用。,Verilog language implementation of the digital down-conversion design. ALTERA at the implementation of QUARTUS ii. Practical, easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-20
    • 文件大小:43795
    • 提供者:咚咚
  1. DDC_prj1

    2下载:
  2. 公司最近项目做的一个DDC(数字下变频)simulink建模模型 真情奉献给大家-this is a project about digital down-converter,it is bulit with Simulink.
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:95781
    • 提供者:ganzhhua
  1. ddc

    2下载:
  2. DDC仿真模型,利用systemgenerator实现数字下变频-DDC simulation model, the use of digital down-conversion systemgenerator
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:16401
    • 提供者:曾重阳
  1. 20100407

    0下载:
  2. 用MATLAB仿真的数字下变频程序,变频,滤波未用matlab函数,而是用代码完成,便于移到DSP或FPGA上。供参考。-Using MATLAB simulation of digital down conversion process, conversion, filtering is not used matlab functions, but with code completion, easier to move on a DSP or FPGA. For reference.
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:10768
    • 提供者:sss
  1. ddc_30m

    4下载:
  2. 中频70M,30M带宽LFM信号,采样率为102.4M,数字下变频后,还进行了三倍抽取,最后还得到I,Q两路信号 -IF 70M, 30M bandwidth LFM signal, the sampling rate of 102.4M, digital down conversion, the samples were carried out three times, the last also I, Q two-way signal
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:1626
    • 提供者:xiebin
  1. ddc_FPGA

    3下载:
  2. 简要介绍了数字下变频的设计,通过采用xilinx的ise软件,ipcore的调用实现-Briefly introduced the design of digital down conversion, through the use of ise the xilinx software, ipcore call the realization of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-16
    • 文件大小:2577932
    • 提供者:望天
  1. up_FreCon

    1下载:
  2. fm中频调制中实现数字正交上变频和正交下变频。-fm frequency modulation in digital quadrature up-conversion and down-conversion quadrature.
  3. 所属分类:assembly language

    • 发布日期:2014-07-20
    • 文件大小:1113
    • 提供者:季艳中
  1. project_UHF_ddc

    1下载:
  2. vhdl语言写的数字下变频的实现,整个工程文件,xlinx ise用的-VHDL language written in the realization of digital down conversion, the whole project file, xlinx ise used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1869233
    • 提供者:杨斌
  1. lpl

    0下载:
  2. 用于数字下变频器的 FPGA 实现 -Digital Down Converter for the FPGA to achieve
  3. 所属分类:Compiler program

    • 发布日期:2017-05-11
    • 文件大小:2790624
    • 提供者:camilla
  1. DDC_CIC

    0下载:
  2. 用CIC 和 FIR Filters设计的数字下变频器,DSP Builder6.1版工程文件-Using CIC and FIR Filters Design of Digital Down Converter, DSP Builder6.1 version of project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:50328
    • 提供者:
  1. DVB_T-ofdm-reception

    1下载:
  2. 自己编写的DVB-T 2K模式下接收机,包括解调模块,模拟下变频模块,数字下变频模块等 ,可直接运行.-I have written DVB-T 2K mode receiver, including the demodulator module, analog down-conversion modules, such as digital down conversion module can be directly run.
  3. 所属分类:Streaming_Mpeg4

    • 发布日期:2016-04-01
    • 文件大小:1074
    • 提供者:刘申
  1. systemtestask

    1下载:
  2. 数字下变频的matlab仿真源程序,自动生产图形-Digital Down Converter,matlab source
  3. 所属分类:Special Effects

    • 发布日期:2017-04-11
    • 文件大小:1479
    • 提供者:青春
  1. wddc_module

    2下载:
  2. 数字下变频的Verilog程序,测试可以直接使用,将A/D信号下变频为基带I,Q两路信号-Digital down conversion of the Verilog program, testing can be used directly to A/D signal down-conversion to baseband I, Q signals two
  3. 所属分类:assembly language

    • 发布日期:2014-10-24
    • 文件大小:3226
    • 提供者:gaigai
  1. FIR_TEST

    0下载:
  2. 应用matlab 软件设计了下变频器中的CIC、HB、FIR滤波器等核心模块,并将各模块融为一体从软件实现的角度完成了对系统的搭建和功能仿真。-About such key algorithms as CIC, HB, FIR of each module in down- conversion, discussion, abstraction and summarization are given in this paper. Using the MATLAB software, we des
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:182372
    • 提供者:邓建良
  1. tes_amp_80_0314

    0下载:
  2. 基于dsp builder的数字下变频器,IP核做的-digital down converter,degigned in matlab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2413869
    • 提供者:hcq
  1. RealizationofdigitaldownconversionbyFPGA

    0下载:
  2. 介绍在FPGA 器件上如何实现单通道数字下变频(DDC)系统。利用编写VHDL 程序和调用部分IP 核相结合的方法研究了数字下变频的FPGA 实现方法,并且完成了其主要模块的仿真和调试,并进行初步系统级验证。-Introduced in the FPGA device on how to achieve the single-channel digital down conversion (DDC) system. VHDL procedures and the use of the prepa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162827
    • 提供者:于银
  1. matlab仿真下变频源程序

    0下载:
  2. matlab 仿真下变频源程序 f0=20e6;%模拟信号中频 f1=10e6;%数字本振频率 fs=40e6;%采样频率(digital down convertion)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-17
    • 文件大小:2048
    • 提供者:philip3000
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com