CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - dds verilog

搜索资源列表

  1. dds_drive.c

    0下载:
  2. DDS发生器NIOS .c文件,在NIOSII中可以配合Verilog代码生成的自定义外设产生DDS信号
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:3973
    • 提供者:白天
  1. key_sin

    0下载:
  2. PS/2键盘加DDS的verilog 设计-PS/2 keyboard plus the verilog design DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1969212
    • 提供者:刘汉超
  1. dds_verilog

    0下载:
  2. 产生信号发生器的dds的verilog代码,很好的学习资料,值得学习-Verilog code generated signal generator dds good learning materials, it is worth learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:3185
    • 提供者:李军
  1. d_e_g_dds

    0下载:
  2. 基于Verilog HDL的迟早门码元同步方案中的DDS程序,已经仿真通过,可以在FPGA开发板上实现。迟-早门方式实现码元同步在无线通信中有着广泛应用。来自华中科大。-Early-later gate of Verilog HDL-based symbol synchronization scheme in the DDS program, has been through simulation, can be achieved in the FPGA development board. F
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1262511
    • 提供者:ye
  1. EDA_project

    0下载:
  2. 基于Verilog和VHDL的DDS程序 基于VHDL的8位十进制频率计 -Verilog and VHDL based on the DDS process VHDL-based 8-bit decimal Cymometer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2382105
    • 提供者:李建兵
  1. DDS_VERILOG

    0下载:
  2. 超级精简的DDS发生器,用VERILOG编写,请参考-Super-streamlined DDS generator with VERILOG preparation, please refer to
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:3032
    • 提供者:吴宏伟
  1. dds_var

    0下载:
  2. 自己写的一个简单的DDS控制器,此程序包包含完整的VERILOG写的程序,操作有点简单,输出正弦波,方波,锯齿波,通过键盘可以选择输出波形,与大家共享-To write a simple DDS controller, this package contains a complete program written in VERILOG, a bit simple to operate, the output sine wave, square wave, sawtooth, through t
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1185205
    • 提供者:deng
  1. dds_mine

    0下载:
  2. 这是基于verilog的dds系统设计,比较简单,希望对大家有用-This is based on verilog for dds system design, relatively simple, hope for all of us! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:488895
    • 提供者:林海
  1. new_128HZ

    0下载:
  2. 直接数字频率合成器DDS设计,VERILOG实现的,比较好的哦-DDS direct digital frequency synthesizer design, VERILOG implementation, and better oh
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:965559
    • 提供者:洪依
  1. DDS_verilog

    0下载:
  2. 通讯中常用的dds模块的verilog源码打包下载-Communications commonly used in dds module verilog source code package to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:609765
    • 提供者:sofia
  1. DDS_Timing

    0下载:
  2. 数字频率合成器DDS,具有和单片机接口的直接数字频率合成器的FPGA实现代码(Verilog)-Digital Frequency Synthesizer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:94975
    • 提供者:胡文静
  1. DDSVerilog

    0下载:
  2. Verilog 实现的DDS源码,可以配合NiosII软核使用 -Verilog realization of DDS source, you can use with soft-core NiosII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3003
    • 提供者:张松松
  1. dds_rom

    0下载:
  2. 此为Verilog编写DDS时,常用模块,为rom模块。-This is the Verilog write DDS, the common module, the module for the rom.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5183
    • 提供者:name
  1. FPGAdds

    0下载:
  2. 用verilog写的DDS程序,请用QuartusII 8.1以上版本打开-DDS program written using verilog, please QuartusII 8.1 or later to open
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1614326
    • 提供者:吴恒
  1. DDS_Verilogcode

    0下载:
  2. 这是一个数字频率综合器(DDS)的Verilog实现源码,采用Quatoues软件综合和仿真-That this is a digital frequency synthesizer (DDS) of the Verilog implementation source code, synthesis and simulation software with Quatoues
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:699313
    • 提供者:追月
  1. DDS_Adder

    0下载:
  2. DDS加法程序,用verilog程序写成,在FPGA的中实现-DDS addition procedures, written with verilog program, implemented in the FPGA' s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4844
    • 提供者:胡浩
  1. design_dds_based_on_verilog

    0下载:
  2. 基于verilog hdl 的DDS设计-The DDS-based design of verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:396867
    • 提供者:yangyang
  1. fpga_dds_coylone_2

    0下载:
  2. dds设计,花了一个星期做的,verilog写的,可生成多种波形,频率范围可上M,性能不错。-dds design, spent a week doing, verilog to write, can generate a variety of waveforms, the frequency range available on the M, the performance good.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1718378
    • 提供者:wangmingwei
  1. DDS_verilog

    0下载:
  2. 采用verilog实现了DDS发生器,源码已通过仿真编译已经板级调试,可直接模块化使用。-Verilog achieved using the DDS generator, source code has been compiled by board-level simulation debugging, modularity can be directly used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2130
    • 提供者:杨安娜
  1. DDS_single

    0下载:
  2. 基于FPGA的单路DDS函数发生器的实现 ,语言为Verilog-FPGA-based single-channel DDS function generator implementation language for Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:26933248
    • 提供者:Filter
« 1 2 3 4 5 6 78 9 »
搜珍网 www.dssz.com