CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - vhdl

搜索资源列表

  1. vhdl codes

    0下载:
  2. how to write the code for basic components and circuit using VHDL
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:2048
    • 提供者:cham
  1. VGA显示汉字VHDL程序

    0下载:
  2. 使用vhdl语言编写的,通过vga在屏幕上显示汉字(Using VHDL language, through the VGA display Chinese characters on the screen)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:1024
    • 提供者:qjjjun
  1. 用vhdl写实用96例子

    0下载:
  2. 用vhdl写实用96例子, 有RAM,PID 等(Using VHDL to write practical examples of 96, there are RAM, PID and so on)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:17153024
    • 提供者:朱朱8
  1. 基于VHDL的UART控制器设计

    0下载:
  2. UART模块的VHDL语言设计(Design of VHDL language based on UART module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:1039360
    • 提供者:周杰---123
  1. 用VHDL设计移位寄存器

    0下载:
  2. 实现序列检测,让你通过VHDL语言实现序列数字的发生(Sequence detector code)
  3. 所属分类:VHDL/FPGA/Verilog

  1. VHDL程序

    0下载:
  2. 利用QuartusⅡ6.0对所设计的出租车计费器的VHDL代码进行仿真,并在FPGA数字实验系统上实现了该控制。(The Quartus II 6 is used to simulate the VHDL code of the designed taxi billing device, and the control is realized on the FPGA digital experiment system.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. VHDL语言100例详解

    0下载:
  2. VHDL language 100 examples
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:6633472
    • 提供者:^U^
  1. VHDL-和-Verilog-HDL-的区别

    0下载:
  2. The difference between VHDL and Verilog HDL.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:1024
    • 提供者:^U^
  1. vhdl

    0下载:
  2. 应用vhdl在实验箱上实现键盘扫描带有去抖并且移位(To realize the keyboard scan to shake and shift)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:6144
    • 提供者:浪费
  1. vhdl分频器设计

    0下载:
  2. vhdl分频器设计,用quartus软件偏写,可进行时钟的分频。(Design of VHDL frequency divider)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:279552
    • 提供者:YXT800
  1. vhdl译码显示器设计

    0下载:
  2. vhdl译码显示器设计,用quartus2软件编写,可实现数码管的显示译码功能。(VHDL decipher display design, written in quartus2 software, can realize the display and decoding function of the digital tube.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:7680000
    • 提供者:YXT800
  1. VHDL实验全部内容及工程文件

    0下载:
  2. vhdl基础范例材料,适合刚刚学习这门语言的新生,实验课考试用。(Basic sample material of vhdl.It is suitable for freshmen who have just learned the language.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-10
    • 文件大小:3579904
    • 提供者:qinqinxiaoxiao
  1. NandFlash VHDL程序

    0下载:
  2. VHDL编写的用于FPGA的NandFlash程序,包括ECC校验和时钟等,希望可以帮助到大家
  3. 所属分类:VHDL编程

    • 发布日期:2018-01-26
    • 文件大小:23863
    • 提供者:mxylzxj
  1. Serial to parallel vhdl

    0下载:
  2. SERIAL TO PARALLEL VHDL CODE
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:9216
    • 提供者:kiruthikka
  1. 3-8译码器VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4;利用XIlinX编程,使用VHDL语言来描述组合逻辑器件3-8译码器(In the FPGA:Spartan-3E development board series, XC3S500E, FGT320, -4 package: speed; the use of XIlinX programming, using VHDL language to describe the combination
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:10240
    • 提供者:lixilin
  1. 按键去抖电路VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4,利用Xilinx ISE软件,利用VHDL软件编写按键去抖电路,包含实验说明以及代码实现VHDL.doc文件,UCF管脚绑定文件(In the FPGA:Spartan-3E development board series, XC3S500E, package: FGT320, speed -4, using Xilinx ISE software, write the debounce cir
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:29696
    • 提供者:lixilin
  1. VHDL简易电子琴设计(1).doc

    0下载:
  2. 这是一个简单的基于vhdl的电子琴,有自动播放和使用按键来制作不同的音色。(The design of a simple electronic organ based on VHDL, with the automatic playing and the use of keystrokes to make different tones)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:124928
    • 提供者:找你呢
  1. adv7123测试程序-vhdl

    0下载:
  2. 基于adv7123芯片的彩条测试程序,vhdl语言编写,下载即用。(Color test program based on adv7123 chip)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:3225600
    • 提供者:张三9475
  1. vhdl

    0下载:
  2. 用VHDL语言实现CD4527(BCD比例乘法器)仿真(The simulation of CD4527(BCD proportional multiplier))
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:2048
    • 提供者:光速ZY
  1. LED控制VHDL程序与仿真

    0下载:
  2. LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。
  3. 所属分类:VHDL编程

« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com