CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - RX

搜索资源列表

  1. trunk-hdlc.rar

    1下载:
  2. 高级链路层协议的实现,vhdl,fpga,- 8 bit parallel backend interface - use external RX and TX clocks - Start and end of frame pattern generation - Start and end of frame pattern checking - Idle pattern generation and detection (all ones) - Idle pattern
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:188322
    • 提供者:whs
  1. RX

    0下载:
  2. 1路视频光端机的接收端,VHDL源码,使用全FPGA芯片的硬件,内建解帧、时钟、DESERDES-PDH a video of the receiving end, VHDL source code, use the whole FPGA chip hardware, built-in framing, clock, SERDES
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:104929
    • 提供者:tr
  1. usb_phy.tar

    1下载:
  2. Very simple USB 1.1 PHY. Includes all the goodies: serial/parallel conversion, bit stuffing/unstuffing, NRZI encoding decoding. Uses a simplified UTMI interface. Currently doesn t do any error checking in the RX section [should probably check f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7381
    • 提供者:eldis
  1. test_uart

    0下载:
  2. uart VHDL code : include tx,rx,parity bit control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:13077
    • 提供者:byungchan
  1. quartus

    0下载:
  2. des algorithm send rx from serial port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3653085
    • 提供者:mohamed
  1. xapp460

    0下载:
  2. xilinx hdmi tx rx verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:94091
    • 提供者:xiantongma
  1. uart_tx_rx

    0下载:
  2. 该工程用verilog编写,已通过串口调试助手调试通过,接收模块采用8倍波特率采样数据,有较好的滤波功能,在PC上完成自发自收功能。-Verilog prepared by the project, has passed through the serial debug debugging assistant, receiving 8 times the baud rate module sampling data, a better filtering in the PC to complet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1312980
    • 提供者:eric
  1. uart

    0下载:
  2. uart - veiloghdl rx, tx, baudrate-uart- veiloghdl rx, tx, baudrate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4853
    • 提供者:xinha
  1. RxTx

    0下载:
  2. Serial communication - RS232 Tx Rx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2049
    • 提供者:theanimalix
  1. LIP1742CORE_sdio_rx_fsm

    0下载:
  2. Verilog SDIO RX FSM module-Verilog SDIO RX FSM module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:259720
    • 提供者:jc
  1. UARTRXTX

    0下载:
  2. MSP430f449的max232的TX与RX问题解决-MSP430f449 the max232' s problem-solving TX and RX
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:24196
    • 提供者:徐如
  1. chipscope_Tx-Rx

    0下载:
  2. chipscope analysis of mini uart module including counter for spartan 3e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:10110
    • 提供者:vikas
  1. uart_top

    0下载:
  2. UART的verilog代码,tx,rx皆可-Verilog code of UART, tx, rx Jieke
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-10
    • 文件大小:2223104
    • 提供者:杨奔
  1. CC2530-UART2TEST

    0下载:
  2. descr iption:CC2520UART1-TX&RX-RECEIVE AND TRANSIT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:19678
    • 提供者:李辉
  1. rxtx

    0下载:
  2. 使用Verilog语言实现的rx转tx,下载使用的时候请您关注下你的所选的FPGA的型号-Use Verilog language rx turn tx, download your concern under the model of the selected FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:379547
    • 提供者:sunshine
  1. txrx

    0下载:
  2. 使用Verilog语言实现的tx转rx,下载使用的时候请您关注下你的所选的FPGA的型号-Use Verilog language tx turn rx, download your concern under the model of the selected FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:250472
    • 提供者:sunshine
  1. uart_rx.fit

    0下载:
  2. uart core : uart rx fit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:11859
    • 提供者:cuong
  1. SERIAL-COMMUNICATION

    0下载:
  2. RS232 串口通信 2:Rx 3:TX-RS232 serial communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:568568
    • 提供者:whb
  1. spi_master

    0下载:
  2. SPI master code: generates CS and tx/rx data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:2230
    • 提供者:rocketsingh
  1. uart

    0下载:
  2. Verilog 编写全双工UART input clk, // 这个模块的主时钟 input rst, // 同步复位信号 input rx, // 串口接收端口 output tx, // 串口发射端口 input transmit, // 发送信号 input [7:0] tx_byte, // 发送的字节 output received, // 表明,已接受到一个字节 output [7:0] rx_
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:1393
    • 提供者:
« 12 3 »
搜珍网 www.dssz.com