CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - SPWM

搜索资源列表

  1. SPWM.rar

    0下载:
  2. 用cpld开发的关于生成spwm波的vhdl程序代码,Cpld developed by spwm waves on the generation of vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:353200
    • 提供者:高原
  1. spwm

    0下载:
  2. 关于SPWM调制设计VHDL代码 关于SPWM调制设计VHDL代码-SPWM modulation on the design of VHDL code design on the VHDL code modulation SPWM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1257
    • 提供者:
  1. SPWM

    1下载:
  2. VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:7312
    • 提供者:zyb
  1. FPGA

    1下载:
  2. spwm dcac逆变 fpga与单片机一起作用-sdad
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2644557
    • 提供者:YZ
  1. FPGAdezizhixingSPWMboChengXu

    0下载:
  2. 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4576
    • 提供者:小喻
  1. SPWM

    0下载:
  2. 用C语言 并利用FPGA来进行SPWM 包含详尽的源代码-Using C language and use of FPGA to be SPWM source code contains detailed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:819186
    • 提供者:叶问
  1. pgvhdl3

    0下载:
  2. document word vhdl spwm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9503
    • 提供者:vhdl
  1. pgvhdl4

    0下载:
  2. vhdl code spwm programme
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:8938
    • 提供者:vhdl
  1. pgvhdl55

    0下载:
  2. documennt word vhdl spwm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:8663
    • 提供者:vhdl
  1. pgvhdl444

    0下载:
  2. docoment programme spwm wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:8409
    • 提供者:vhdl
  1. pgvhdl333

    0下载:
  2. programme sinusoidale spwm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:8362
    • 提供者:vhdl
  1. PWM_DA

    0下载:
  2. 随着电子技术的发展,出现了多种PWM技术,其中包括:相电压控制PWM、脉宽PWM法、随机PWM、SPWM法、线电压控制PWM等,而在镍氢电池智能充电器中采用的脉宽PWM法,它是把每一脉冲宽度均相等的脉冲列作为PWM波形,通过改变脉冲列的周期可以调频,改变脉冲的宽度或占空比可以调压,采用适当控制方法即可使电压与频率协调变化。可以通过调整PWM的周期、PWM的占空比而达到控制充电电流的目的。-With the development of electronic technology, a varie
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:196340
    • 提供者:
  1. spwmlast

    0下载:
  2. 基于fpga的spwm波形产生代码-On fpga SPWM waveform code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:568136
    • 提供者:王子
  1. SPWM

    0下载:
  2. SPWM波形发生器,输出正弦波,占空比变化的-SPWM waveform generator, the output sine wave, duty cycle change
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1738294
    • 提供者:福星高照
  1. SPWM-based-from-MSP430

    0下载:
  2. 这是TI公司的SPWM波产生程序,基于MSP430单片机,用到了其片内的ADC进行反馈控制占空比-This is TI' s SPWM wave generation process, based on the MSP430 microcontroller, the chip used in the feedback control the duty cycle of the ADC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:39674
    • 提供者:周森未
  1. SPWM

    0下载:
  2. 单片机模拟输出spwm波,可实现低频正弦波的发生-Microcontroller analog output spwm wave, the occurrence of low frequency sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4498
    • 提供者:weiwei
  1. SPWM_TEST

    0下载:
  2. 这是一个有关SPWM的程序,本人已经调试好。欢迎使用-This is a program for SPWM, I have good debugging. Welcome to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6105710
    • 提供者:steef
  1. SPWM-generator

    0下载:
  2. 这是几篇关于产生SPWM波的方法的论文,希望对大家有所帮助!-there are some papers about the method of generating the SPWM waves .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:529550
    • 提供者:xilin
  1. SPWM-output

    0下载:
  2. 利用FPGA,采用DDS技术产生具有死区控制的SPWM波-To utilize FPGA, generation of DDS technology with deadband control SPWM wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:4672784
    • 提供者:yizhengxin
  1. SPWM

    0下载:
  2. 利用FPGA内核产生SPWM波,并且频率可调(The FPGA kernel is used to generate SPWM waves, and the frequency is adjustable)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 »
搜珍网 www.dssz.com